VHDL Implementation of Energy Efficient Multiplier using Bit Significance Driven Logic Compression

DOI : 10.17577/IJERTV8IS070066

Download Full-Text PDF Cite this Publication

Text Only Version

VHDL Implementation of Energy Efficient Multiplier using Bit Significance Driven Logic Compression

N.Naga Lakshmi (1)

1M.Tech, Embedded Systems , Gudlavalleru Engineering College, Gudlavalleru, India.

V. Vittal Reddy(2)

2Associate Professor,

Dept of ECE , Gudlavalleru Engineering College, Gudlavalleru, India

Abstract:- As a successful paradigm for many imprecision- liberal applications, approximate arithmetic is one of the newly emerging methods. By easing precise requirements, it can deliver significant decreases in loop complexity, delay and energy reduction. In this article, using a meaning-driven logic compression (SDLC) method, we propose a novel energy- efficient approximate multiplier design. Based on their progressive bit significance, an algorithmic and configurable loss compression of the partial product rows is fundamental to this strategy. This is preceded by switching the restore subsequent conditions of the item to decrease the amount of item lines. As such, the multiplier's efficiency is drastically decreased in excess of the number of logic cells and the duration of critical routes. The proposed estimated multiplier built in Verilog HDL and compounded in Xilinx ISE 14.5 using ISIM simulator.

Index Terms- Approximate Arithmetics, Significance Driven Logic Compression (SDLC), Logic Clustering

I.INTRODUCTION

For evolving apps, there is an ongoing requirement for greater computing efficiency at small energy costs. Improvements from production procedures it is unlikely that alone, such as technology nodes or multi-core system- on-chip, will be ready for this assignment. Therefore, in attempt to achieve reductions in conversion energy, there is a true need to develop disruptive growth techniques. Approximate development of computer applications is a successful strategy to this end [1][3].

Approximate computing's is the fundamental assumption is to substitute the traditional complicated and energy- wasteful information handling blocks with decreased logic numbers by low-complex blocks. As a consequence, the price of imprecision added to the stored information decreases the efficient processor region and energy consumption. Research has shown that the majority of modern applications such as digital signal processing, Computer vision, robotics, multimedia and data analytics are somewhat tolerant of this inaccuracy [4]. This can be used as a chance to develop energy-efficient devices for application-specific devices for present and future generations.

Approximate arithmetic,such as exact adders and multipliers, can be used in many of these apps as a way of lowering energy demands, increasing velocity, minimizing costs and enhancing efficiency. It was mainly active in

computer applications using fixed-point procedures and flying level operations [5],[6].

For two main purposes, multipliers are critical arithmetic units in contemporary apps. First, they are defined by complicated logic design, being one of the most demanding systems in contemporary microprocessors for data processing. Second, compute-intensive apps typically perform a big amount of multiplication activities in order to calculate results. These variables have spurred near scrutiny in estimated multiplier design research, as changes in a multiplier's power and speed are anticipated to have a significant effect on general device performance trading [7].

Over the years, a number of calculation techniques have been proposed. These techniques are meant to reduce the trouble in computing and energy consumption for the systems and structures [8]. Approximations can be introduced at any development stage, from circuit [9] via logic [10] and architecture [11] to language programming

[13] and Algorithms [15]. Approximations can also be applied at any architecture stage.

Popular design techniques include linear approximations, timing (VOS and over clocking) and systems lay outing methodology in projected system designs..N2 item conditions are generated by a typical (NxN) precise multiplier, which are then collected as a final product of 2N. The precision of this item is mainly dependent on the importance of pieces; it is probable that maintaining parts of higher importance will produce an result similar to the precise item than that of pieces of lower importance.

This can be utilized to use bit-significance to gradually compress the conditions of the item. The goal is to accomplish significant energy gains at small precision failure. We create the following main donations, inspired by our prior work [16]:

  1. We suggest a new energy-efficient estimated multiplier layout strategy using bit-significance-driven memory encoding.

  2. at the heart of our strategy is a design-time configurable logic clustering of item condition properly selected for a specified energy-accuracy trade-off, accompanied by restoration using their commutative characteristics to decrease the subsequent amount of item conditions.

This is, to the finest of our understanding, the first example of an estimated multiplier layout strategy based on linear logic compression using a true implementation. The remainder of the document is as follows structured. Section II presents the suggested estimated layout of multipliers. The suggested multiplier is provided in Section III. Section IV describes the test outcomes and layout tradeoffs. Finally, Section V ends the document

  1. RELATED WORK

    The associated study attempts are discussed in this paper in the area of estimated multiplier layout. These attempts can be mainly classified as timing or functional behavior changes. First, timing conduct can be changed using extreme scaling methods for voltage supply [4],[5].

    Operating below nominal voltage enables the expense of time-induced mistakes to reduce energy consumption. These errors cannot be strictly bound and therefore additional circuits for mistake reward need to be integrated. Because timing mistakes are triggered by lengthy transport lines, which are affecting the largest part of the finished item, a modification of the traditional multiplier to cater for smooth degradation must quantify the effect of timing breach.

    Second, modifications to features deal with logical extraction techniques and can be made by facilitating accurate compatibility and execution of Boolean requirements. Speed calculations to achieve energy efficiency, minimize the silicone region or optimize other device parameters, this can be achieved.

    The main characteristics and limits of study attempt in the field of estimated multipliers are summarized in Table I. Cutting multiplier item conditions, for instance, enables the removal of some of the less important parts[6],[7 ].

    Further energy reduction is accomplished as more pillars are eliminated; however, mistakes are also increasing. Another efficient method is modular re-design with low-complex combination logic [8],[9]. Energy- efficient multipliers to be constructed using tiny estimated multipliers; however, the hierarchical structure of tiny estimated sets will ultimately spread mistakes which grow with the multiplier magnitude. A software-based perforation technique in[10] was suggested by acquiring the optimized number of partial product conditions oriented on trade-offs in power-area precision. By altering the functional behavior, a range of power-and area-efficient multiplier redevelopment methods were suggested. These modifications range from the transistor-level architecture [13], [14].

    Table 1: Approximate multiplier summary design approaches

    Logic utilization

    Used

    Available

    Utilization

    Number of 4 input LUTS

    179

    1920

    9%

    Number of occupied slices

    91

    960

    9%

    Number of slices containing only related logic

    91

    91

    100%

    Number of slices containing unrelated logic

    0

    91

    0%

    Total number of 4 input LUTs

    179

    1920

    9%

    Number of bonded IOBs

    64

    66

    96%

    Average Fanout of Non- clock Nets

    3.72

    TIMING REPORT

    Simulation Result of SDLC approach of Eight same sizes of logic clusters used to compress partial products in (16×16) parallel multiplier architecture.

    RTLSCHEMATIC

    TECHNOLOGY SCHEMATIC

    Logic utilization

    Used

    Available

    Utilization

    Number of 4 input LUTS

    171

    1920

    8%

    Number of occupied slices

    86

    960

    8%

    Number of slices containing only related logic

    86

    86

    100%

    Number of slices containing unrelated logic

    0

    86

    0%

    Total number of 4 input LUTs

    171

    1920

    8%

    Number of bonded IOBs

    64

    66

    96%

    Logic utilization

    Used

    Available

    Utilization

    Number of 4 input LUTS

    171

    1920

    8%

    Number of occupied slices

    86

    960

    <>8%

    Number of slices containing only related logic

    86

    86

    100%

    Number of slices containing unrelated logic

    0

    86

    0%

    Total number of 4 input LUTs

    171

    1920

    8%

    Number of bonded IOBs

    64

    66

    96%

    DESIGN SUMMARY

    logic cluster size delay. The findings achieved after synthesis showed a significant reduction in run-time and silicon region. We think that with current low-power computing systems, the suggested method can be used to obtain multiple advantages with minimal error in performance.

    TIMING REPORT

    COMPARISON TABLE

    Parameters

    Delay(ns)

    Area(No.of Slices)

    Multiplier with Variable Logic cluster size

    4.221ns

    91

    Multiplier with fixed logic cluster size

    3.590ns

    86

    V. CONCLUSION

    Using Significance driven logic cluster approach (SDLC), a new estimated multiplier is intended. This layout strategy uses an algorithmic and configurable compression of losses centered on part meaning to create a decreased number of temporary conditions of item. This is then rebuilt and collected using different simultaneous computing systems. For most outputs, the 16×16 multiplier using SDLC provides near proximity to precise products. Experimental results on Xilinx show that multipliers with a fixed logic cluster size can achieve a delay of 3.590ns and an area of 86 slices compared to the 4.221ns and 91 slices of variable

    REFERENCES

    1. J. Han and M. Orshansky, Approximate computing: An emerging paradigm for energy-efficient design, in 2013 18th IEEE European Test Symposium (ETS), pp. 16, May 2013.

    2. L. Sekanina, Introduction to approximate computing: Embedded tutorial, in 2016 IEEE 19th DDECS, pp. 16, April 2016.

    3. H. Jiang, C. Liu, N. Maheshwari, F. Lombardi, and J. Han, A comparative evaluation of approximate multipliers, in 2016 IEEE/ACM International Symposium on NANOARCH, pp. 191 196, July 2016.

    4. D. Mohapatra, V. K. Chippa, A. Raghunathan, and K. Roy, Design of voltage-scalable meta-functions for approximate computing, in 2011 DATE, pp. 16, March 2011.

    5. Y. Liu, T.Zhang, and K. K.Parhi, Computation error analysis in digital signal processing systems with overscaled supply voltage, IEEE Transactions on VLSI Systems, vol. 18, pp. 517526, April 2010.

    6. N. Petra, D. D. Caro, V. Garofalo, E. Napoli, and A. G. M. Strollo, Truncated binary multipliers with variable correction and minimum mean square error, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 57, pp. 13121325, June 2010.

    7. J. E. Stine and O. M. Duverne, Variations on truncated multiplication, in DSD, 2003, pp. 112119, Sept 2003.

    8. P. Kulkarni, P. Gupta, and M. Ercegovac, Trading accuracy for power with an under designed multiplier architecture, in 2011 24th Internatioal Conference on VLSI Design, pp. 346351, Jan 2011.

    9. C. H. Lin and I. C. Lin, High accuracy approximate multiplier with error correction, in 2013 IEEE 31st ICCD, pp. 3338, Oct 2013.

    10. G. Zervakis, K. Tsoumanis, S. Xydis, D. Soudris, and K. Pekmestzi, Design-efficient approximate multiplication circuits through partial product perforation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, pp. 31053117, Oct 2016.

    11. S. Venkataramani, A. Sabne, V. Kozhikkottu, K. Roy, and A. Raghunathan, Salsa: Systematic logic synthesis of approximate circuits, in DAC, 2012 49th ACM/EDAC/IEEE, pp. 796801, June 2012.

    12. R. Venkatesan, A. Agarwal, K. Roy, and A. Raghunathan, Macaco: Modeling and analysis of circuits for approximate computing, in 2011 IEEE/ACM ICCAD, pp. 667673, Nov 2011.

    13. K. Bhardwaj, P. S. Mane, and J. Henkel, Power- and area- efficient approximate wallace tree multiplier for error-resilient systems, in Fifteenth ISQED, pp. 263269, March 2014.

    14. V. Gupta, D.Mohapatra, A. Raghunathan, and K. Roy, Low- power digital signal processing using approximate adders, IEEE Transactions on CAD of Integrated Circuits and Systems, vol. 32, pp. 124137, 2013.

    15. Z. Vasicek and L.Sekanina, Evolutionary approach to approximate digital circuits design, IEEE Transactions on Evolutionary Computation, vol. 19, pp. 432444, June 2015.

    16. C. Liu, J. Han, and F. Lombardi, A low-power, high- performance approximate multiplier with configurable partial error recovery, in 2014 DATE, pp. 14, March 2014.

Leave a Reply