Analysis and Design of Quantum-Dot Cellular Automata

DOI : 10.17577/IJERTV3IS080808

Download Full-Text PDF Cite this Publication

Text Only Version

Analysis and Design of Quantum-Dot Cellular Automata

Jadidyaneal1, Nilakshi Roy2, Chandhini S3,Ramesh Shahabadkar4

Department of Computer Science, Christ University Faculty of Engineering,

Christ University, Bangalore.

Abstract Quantum Dot Cellular Automata are proposed Models of Quantum Computation. Wire Crossing is an issue in Quantum Dot Cellular Automata (QCA) Design. Configurations of Such Wires can form a complete set of Logic Gates for Computation. We discuss about the QCA Basics and Logic design of QCA Majority Gate, QCA OR Gate, QCA AND Gate, QCA Inverter Gate, QCA NAND Gate. The circuit was simulated with QCA Designer and results were included in this paper. QCA an attractive technology for manufacturing memories in which the paradigm of memory-in-motion can be fully exploited. We can implement memory architecture for QCA implementation i.e. the RAM cell.

Index Terms Quantum Dot cellular automata (QCA), QCA Architecture, QCA Design, QCA Simulation, QCA Majority Gate, QCA OR Gate, QCA AND Gate, QCA Inverter Gate, QCA NAND Gate.

  1. INTRODUCTION

    Quantum-Dot cellular automata (QCA) is a new technology for nano electronic computers. It provides high density, high switching speed, and ultralow power dissipation. Quantum dot cellular automata are proposed models of quantum computation, which have been devised in analogy to conventional models cellular computation introduced by Von Neumann order to implement a system that encodes information in the form of electron position it becomes necessary to construct a vessel in which an electron can be trapped and counted as there or not there. A quantum dot does just this by establishing a region of low potential surrounded by a ring of high potential. Such rings are able to trap electrons of sufficiently low energies/temperature and are sometimes called potential wells. A cellular automata is a finite state machine consisting of a uniform (finite or infinite) grid of cells. Each cell can be in only one of a finite number of states at a discrete time. As time moves forward, the state of each cell in the grid is determined by a transformation rule that factors in its previous state and the states of the immediately adjacent cells. Grid arrangements of quantum-dot cells behave in ways that allow for computation. The simplest practical cell arrangement is given by placing quantum-dot cells in series, to the side of each other. Configurations of such wires can form a complete set of logic gates for computation. Uses electrons in cells to store and transmit data Electrons move between different positions via electron tunneling and logic functions performed

    by Coulombic interactions. Two extra electrons are introduced to the quantum cell. Electrons have the ability to tunnel from one quantum dot to the next. Repelling force of electrons moves the charge to opposite corners of the quantum cell, resulting in two possible arrangements, representing binary 0 and 1. Quantum dots will become the backbone of future microelectronic and photonic devices because of their unique properties due to quantum confinement of electrons in 3-dimensions this results in interesting electronic and optical properties. The application of quantum dots are Neuro-quantum structures, Single-electron devices, for instance transistor, Tunable lasers, Photo detectors, Sensors, Quantum Computing, Quantum Cellular Automata. QCA an attractive technology for manufacturing memories in which the paradigm of memory-in-motion can be fully exploited. We can implement memory architecture for QCA implementation i.e. the RAM cell. Quantum-Dot cellular automata (QCA) is a new technology for nanoelectronic computers. It provides high density, high switching speed, and ultralow power dissipation.

  2. QCA ARCHITECTURE

    A. QCA Design

    QCA cell is a nanostructure device where electrons wells which confine electrons store the logic states and transmit information

    1. cell is composed of four quantum dots where each states contain one single electron per dot. Four dots are located at the corners of the cell. And two extra electrons are injected into the cell. The cell is charged with two extra electrons which tend to occupy diagonally opposite dots due to columbic repulsion. Unlike conventional logic where information transfers by electrical current, QCA connects the state of one cell to the state of its neighbors by columbic interaction. There are two possible arrangements denoted as cell polarization P=-1 which denotes logic 0 and P=+1 which denotes logic 1(fig.1), binary information can be encoded. Clock in QCA based circuits is used to synchronize and to control the information flow as well as provides the power to run the circuits. There are propagation delays between the cell to cell reactions so that there should be a limit in count clock zone which ensure the proper propagation and also reliable transmission.

      Fig.1

      The numbering of the dots in a cell happens in a clockwise manner starting from the dot on the top right: top right dot i =1, bottom right dot i =2, bottom left dot i =3 and top left dot i =4. A polarization P in a cell is defined as where i denotes the electronic charge at dot i. The polarization measures the charge configuration that is, the extent to which the electronic charge is distributed among the four dots.

  3. QCA SIMULATION DESIGN TOOL

      1. QCA Simulations

        Ab initio simulations of QCA cells provide very accurate results for atmost about two interacting QCA cells. Because of the computational complexity involved, more cells cannot be added to the simulation without increasing the required simulation time beyond practical limits. For this reason, when simulating a larger number of cells, other simulation methods that employ approximations must be used to simplify the calculations. There are many different models that can be generally counted on to give reasonably accurate results for specific aspects of QCA operation. For instance, QCA designer which is a tool used to simulate clocked 4-dot QCAs, uses two different simulation engines, each having its own pros and cons. Both models can provide reasonably accurate simulations, however, due to certain approximations may not provide accurate results each time. One important approximation that both these models make is that the clocked 4-dot QCA are two-state systems. Generally this is true; however, this simplification can produce inaccurate results due to ambiguous cell configurations. Regardless of these possibilities, the QCA designer tool provides a good general tool to study logic designs of 4-dot QCA.

      2. New QCA Simulation Tools

        Since the QCA designer tool does not allow use of clocked QCA, a new design and simulation tool has been developed specifically to understand the unique logic of a QCA system. Fortunately, the simulation development for the QCA cells benefits from the relative simplicity of the design compared to the 4-dot QCA cells, as well as the past development of different simulation methods for the QCA cell. These factors preclude the immediate need for full ab initio simulation of properties, such as the cell-to-cell response for the QCA cells, and allow simulation to.

        Focus on the logical output of groups of QCA cells. To choose which simulation techniques to use for the purposes of this study, key requirements were identified. First, the ground state of a system of QCAs must be known in order to provide the correct logic operation of a circuit and enable design of a logic library. This proved to be the most important requirement of the simulation engin. Another factor involved in determining requirements for the simulation design was that separation between the ground state of the system and the first excited states would be studied to determine robustness of the design. And lastly, but also a key requirement, is that, it is common for QCA configurations to produce circuits that have degenerate ground state configurations, and many times these different configurations produce opposite outputs. In an actual system, the circuit output in these cases would only be determined by noise, fabrication defect, or other random element, which are generally uncontrollable and, therefore, undesirable. Therefore, these degenerate cases were identified so they could be excluded from the library of 4-dot QCA logic constructs after several simulation techniques were studied, and based on these main requirements, the semi classical time-independent simulation techniques developed in were selected to drive the new simulation and design tool created for this study. This technique assumes the behavior of the electrons in the QCA to be classical except for the ability to tunnel between QDs. This becomes a reasonable approximation if the electron wave functions can be considered to be strongly localized (a reasonable assumption considering the desirable bistable behavior of QCA cells). The simulation operates by computing the energies of each combination of all of the QCA cell logic states (0 or 1) by computing the sum of the electrostatic contributions of point charges to the energy for each configuration such that

        Where E is the total system energy, q are the charges, 0 r is the permittivity, and r is the distance between point charges. The configuration with the lowest total energy produced the ground state of the system and resultant correct logic output of the circuit. When degenerate ground-state configurations were identified, they were examined to determine if different logical outputs were produced by the degenerate states. If the outputs were the same in each of the degenerate states, the circuit was maintained as an acceptable circuit; if not, then the circuit output was deemed to be random, from a logical sense, and thus unacceptable. The results of this process are the logical constructs that will be presented in the following section and that may be used in a qca-based design.

  4. LOGIC DESIGN USING QCA DESIGNER

        1. Binary wire

          The first of a group of simple logic constructs is the binary wire. The wire consists of a string of like-oriented QCA with the adjacent opposite oriented sites uninhabited by QCAs. During switching, each QCA passes the information to its

          adjacent QCA cell, starting at the input QCA and continuing throughout the wire.

        2. Inverter

          The next simple logic construct to be introduced is the inverter. There are at least two ways to invert a signal in the QCA architecture. For the first way, one oppositely oriented QCA is placed in a position next to the binary wire between two like-oriented QCAs. This QCA, in turn, passes the information on to the next QCA except now the binary information is inverted. This inverter can be seen. The second way to invert a bit in the QCA architecture is by turning a corner in the correct direction. Of the four possible ways to turn a corner, two produce inverted signals, and two produce original signals

        3. Planer Wire Crossing

          Because of the unique structure of the QCA architecture, it is possible to cross wires without adding another level or dimension to the structure. This is accomplished by using QCA wires that are using different clocks. For example, two QCA wires that cross at a point do not affect. Each other unless they are using the same clock. This is true even if the QCA in one of the wires would normally drive the QCA in the other have the same polarization and effectively cancel each others electric field at their midpoint, which is the point where the clock 0 wire crosses. This allows the clock 0 wire to pass unaffected by the clock 3 wire. In general, this effect holds true for any clocking scheme for a wire crossing, as long as the wires are not using the same clock. The ability to clock individual QCA or groups of QCA independent of other, possibly adjacent, QCA is assumed for this planer wire crossing scheme. Clocking with this precision may prove to be a challenge, especially for molecular scale implementations. The architecture is flexible enough to allow for other constructs based on other wire crossing schemes, such as multilayer crossing.

        4. Majority Gate

    The majority gate is an important structure in the 4-dot QCA architecture as it allows for universal computation to be performed. Likewise, the addition of a majority gate into the limited simple constructs already presented for the QCA architecture would make it a universal architecture as well. Before the majority gate is presented, however, it is required that sufficient explanation of the terms used to describe the majority gate must be given. First, global inputs to the QCA architecture are individual QCAs that are locked into their respective logic configurations. This type of QCA is common to all QCA architectures and could be implemented either by an external user Schematic for the simplest of QCA majority gates. QCA implementation of this majority gate. Input or by a specifically created QCA that is inherently fixed in regards to their electron configuration. Local inputs are QCA at the inputs to a specific logic construct or logic gate.

    These QCA must have variable electron configurations that add to the total energy calculations of the system. Outputs must always have variable electron configurations and must also be assumed to dynamically contribute to total system Configurations. This is accomplished by the use of clocking, orientation, and global and local inputs. The simplest QCA majority gate can be created with only four QCA cells three of these QCA are held as global inputs (denoted by the blue

    dashed outline). The single output QCA must be either clocked where it is driven by the inputs or, since the global inputs do not change, they may also be clocked using the same clock signal. The first clue that the QCA variety of the majority gate will be different than the QCA variety is that the bottom input to the majority gate is naturally inverted. This is due to properties that invert signals

    As they turn corners in a specific direction [that is also used in the inverter. This simple majority gate can be used to create two input AND and OR gates by using the bottom input to

    program which gate is needed. For example, if a static logic 1 is applied to the bottom input and variable inputs A and B are applied to the top and left inputs, the operation of the gate is an AND (A,B) gate. If the same orientation has instead a logic 0 applied to the bottom input, the operation of the gate is an OR (A, B) gate. (Note that because the bottom input is inverted, the typical majority gate logic of adding a static 1 to one input To produce an OR gate and adding a static 0 to one input to produce an AND gate is itself inverted.) The simple QCA majority gate can also be used to create gates which perform the AND (A_, B) and OR (A_, B) operations by adding the static input to the top or left inputs instead of the bottom input. Additionally, this majority gate can also be used as an inverter if opposite static inputs are applied to the top and left inputs and the bottom input is reserved for a variable input. The fact that this majority gate can be both an AND gate, an OR gate, or an inverter allows

    The QCA majority gate to be in itself a universal gate. The vast majority of complex circuitry will require that global inputs are not applied directly to individual majority gates. However, converting global inputs to local inputs can modify the logical function produced. This is due to the configuration of

    The QCA map which places diagonally neihboring cells Majority gate with global inputs, which are removed from the local input sites: (a) schematic and (b) QCA implementation. Closer in spacing compared to collinear or parallel neighbor cells. An example of this can be found in the following majority gate configuration, in which, the local input sites are driven by collinear global inputs. The operation of this majority Gate does something interesting. It changes its function from the gate to a majority gate with the bottomand also leftinputs inverted. This interesting feature is due to the majority gate

    rejecting local inputs of the gate. For this layout, the four local cells making up the majority gate (three local inputs and one local output) prefer only two different configurations. Because of the strength of the interaction of the local cells and relative weakness of the collinear wires driving them, they reject single inputs. This is the property that produces the modified majority gate function, which can be used to create a NAND gate, a NOR gate, an AND (A_, B) gate, an OR (A, B_) gate, or an inverter and is thus, in itself, a universal logic gate as well.

    Clocking can also be used to change the action of the majority gate. Using the same QCA orientation, we can recover the operation of the majority gate. We do this by clocking the cells so that the inputs drive the output. Other clocking and orientation schemes produce similar logic gate operations to the gates. These different schemes for producing different types of

    majority gates begin to show and unlock the complexity of the QCA architecture.

    This complexity and richness will be used as the more complex constructs are formed.

  5. QCA Design

    Figure 1.QCA circuit of Majority Gate

    Figure 2.QCA Circuit of OR Gate

    Figure 3.QCA Circuit of AND Gate

    Figure 4.QCA Circuit of Inverter Gate

    Figure 5.QCA Circuit of NAND Gate

  6. Simulations of QCA

    1. Simulation of majority Gate

      Figure 6. Simulation of majority Gate

    2. Simulation of Or gate

      Figure 7. Simulation of Or gate

    3. Simulation of And Gate

      Figure 8. Simulation of And Gate

    4. Simulation of Inverter gate

      Figure 9. Simulation of Inverter gate

    5. Simulation of Nand gate

    Figure 10. Simulation of Nand gate

  7. CONCLUSION

    This paper presents the QCA designs of logic gates majority gate, Or gate, And gate, Inverter, Nand gate. The described solution conrms a possibility of decimal nano computer design, which allows us to avoid both base-conversion errors and machine time losses due to these conversions as well as simplify programming languages and compilers.

    Our future Scope is to perform QCA Design and simulation for higher configuration like 6-Dot QCA.

    The authors also wish to thank the reviewers of this paper for their insightful comments

  8. REFERENCES

  1. C. S. Lent and B. Isaksen, Clocked molecular quantum-dot cellular au- tomata, IEEE Trans. Electron. Devices, vol. 50, no. 9, pp. 18901896, Sep. 2003.

  2. J. Timler and C. S. Lent, Power gain and dissipation in quantum-dot cellularautomata, J.Appl.Phys., vol.91,no.2,pp.823831,Jan.2002.

  3. P. Tougaw and C. Lent, Logical devices implemented using quantum cellular automata, J. Appl. Phys., vol. 75, pp. 18181825, 1994.

    [4 ] I. Amlani, A. Orlov, G. Snider, and C. Lent, Demonstration of a func- tional. quantum-dot cellular automata cell, J. Vac. Sci. Techol. B., Microelectron. Process. Phenom., vol. 16, pp. 37953799,1998.

    [5 ] G. Snider, A. Orlov, I. Amlani, G. Bernstein, C.Lent,J.Merz,and.Porod,Quantum-dotcellularautomata:Lineandmajorit ygatelogic, Jpn. J. Appl. Phys., vol. 38, pp. 72277229, 1999.

    [6 ]J.TimlerandC.Lent,Powergainanddissipationinquantum-dotcellular automata, J. Appl. Phys., vol. 91,pp.823831,2002.

    [7 ] P.Barbara, T. Meyer, and M. Ratner, Contemporary issues in electron transfer research,J.Phys.Chem.,vol.100,pp. 1314813168, 1996.

    [8 ] A. Chaudhary, D. Chen, X. Hu, M. Niemier, R. Ravichandran, and K. Whitton, Eliminating wire crossings for molecular quantum-dot cellular automata implementation, in Proc. IEEE/ACM Int. Conf. Comput. Aided Des. ICCAD-2005, Nov. 610,pp.565571.

    [9 ]M.Niemier,Theeffectsofanewtechnologyonthedesign,organization,and architectures of computing systems, Ph.D. dissertation, Univ. Notre Dame, NotreDame,IN,Jan.2004.

    [10 ] R. Cowburn and M. Welland, Room temperature magnetic quantum cellular automata, Science, vol. 287, no. 5457, pp. 14661468, 2000.

    1. G. Bernstein, A. Imre, V. Metlushko, A. Orlov, L. Zhou, G. C. L. Ji, and W. Porod, Magnetic QCA systems, Microelectron. J., vol. 36,pp.619624,2005.

    2. A. Imre, G. Csaba, L. Ji, A. Orlov, G. Bernstein, and W. Porod, Majority logicgateformagneticquantum-dotcellularautomata, Science,vol.311, no. 5758, pp. 205208, 2006.

    3. A. Imre, Experimental study of nanomagnets for magnetic quantum-dot cellular automata (MQCA) logic applications, Ph.D. disseration, Univ. Notre Dame, Notre Dame, IN, Apr. 2005.

    4. A. DeHon and M. Wilson, Nano-wire based sublithographicpro-grammablelogicarrays,inProc.Int.Symp.FieldProgra m.GateArrays, 2004, pp. 123132.

[15]D.StrukovandK.Likharev,CMOLFPGA:Arecongurablearchitecture for hybrid digital circuits with two-terminal nanodevices, Nanotechnol- ogy, vol. 16, pp. 888900, 2005.

[16] G. Snider, P. J. Kuekes, T. Hogg, and R. S. Williams, Nanoelectronic architectures, Appl. Phys. A, Solids Surf., vol. 80, pp. 11831196, 2005.

[17]C.LentandP.Tougaw,Adevicearchitectureforcomputingwithquantum dots, Proc. IEEE, vol. 85, no.4,pp.541557,Apr.1997.

  1. I. Amlani, A. Orlov, G. Toth, G. Bernstein, C. Lent, and G. Snider,

    Digital logic gate using quantum-dot cellular automata, Science, vol. 284, no.5412,pp.289291,1999.

  2. R. Kummamuru, J. Timler, G. Toth, C. Lent, R. Ramasubramaniam, A. Orlov, and G. Bernstein, Power gain in a quantum-dot cellular au- tomata latch, Appl. Phys. Lett., vol. 81, pp. 13321334, 2002.

  3. T. Vandervelde, P. Kumar, T. Kobayashi, J. Gray, T. Pernell, J. Floro, R. Hull, and J. Bean, Growth of quantum fortress structures in Si 1-xGe x/Si via combinatorial deposition, Appl. Phys. Lett.,vol.83,pp.52055207,2003.

  4. M. Mitic, M. Cassidy, K. Petersson, R. Starrett, E. Gauja, R. Brenner, R. Clark, A. Dzurak, C. Yang, and D. Jamieson, Demonstration of a silicon-based quantum cellular automata cell, Appl. Phys. Lett., vol. 89, pp. 13503-113503-3, 2006.

  5. C. Smith, S. Gardelis, A. Rushforth, R. Crook, J. Cooper, D. Ritchie, E. Lineld, Y. Jin, and M. Pepper, Realization of quantum-dot cellular automatausingsemiconductorquantumdots, SuperlatticesMicrostruct., vol. 34, pp. 195203, 2003.386 IEEE Transactions on nano -technology, vol. 7, no. 3, may 2008

  6. A. Fujiwara, S. Horiguchi, M. Nagase, and Y. Takahashi, Threshold voltage of Si single-electron transistor, Jpn. J. Appl. Phys. Part 1 Regul. Papers Short Notes Rev. Pap., vol. 42, pp. 24292433,2003.

  7. G. Snider, private communication, 2006.

  8. L. Hollenberg, A. Greentree, A. Fowler, and C. Wellard, Two- dimensional architectures for donor-based quantum computing, Phys. Rev. B, Condens. Matter, vol. 74, p. 045311, 2006.

[26]J.Jiao,G.Long,F.Grandjean,A.Beatty,andT.Fehlner,Buildingblocks for the molecular expression of quantum cellular automata. Isolation and characterization of a covalently bonded square array of two ferrocenium and two ferrocene complexes, J. Amer. Chem. Soc., vol. 125, pp. 15221523,2003.

[27] H. Qi, S. Sharma, Z. Li, G. Snider, A. Orlov, C. Lent, and T. Fehlner,

Molecular quantum cellular automata cells. Electric eld driven switch- ingofasiliconsurfaceboundarrayofverticallyorientedtwo-dotmolecu- larquantumcellularautomata,J.Amer.Che.Soc.,vol.125,pp.152501525 9,2003.

[28]E.Winfree,F.Liu,L.Wenzler,andN.Seeman,Designandself-assembly of two-dimensional DNA crystals, Nature, vol. 394, pp. 539544, 1998.

[29] W. Hu, K. Sarveswaran, M. Lieberman, and G. H. Bernstein, High resolution electron beam lithography and DNA nano-patterning for molecular QCA, IEEE Trans. Nanotechnol., vol. 4,no.3,pp.312316,May2005.

[30]D.HurleyandY.Tor,Ru(II)andOs(II)nucleosidesandoligonucleotides: Synthesis and properties, J. Amer. Chem. Soc., vol. 124, pp. 37493762, 2002.

  1. J. D. Le, Y. Pinto, N. Seeman, K. Musier-Forsyth, T. Taton, and R. Kiehl,

    DNA-templated self-assembly of metallic nanocomponent arrays on a surface, Nano Lett., vol. 4, no. 12, pp. 23432347, 2004.

  2. M. de Wild, S. Berner, H. Suzuki, H. Yanagi, D. Schlettwein, S. Ivan, A. Baratoff, H.-J. Guentherodt, and T. Jung, A novel route to molecular self-assembly: Self-intermixed monolayer phases, Chem. Phys. Chem., vol. 10, pp.881885,2002.

  3. M. P. Stoykovich, M. Muller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, and P. F. Nealey, Directed assembly of block copolymer blends into nonregular device-oriented structures, Science, vol. 308, no. 5727, pp. 14421446, Jun. 2005.

  4. L. Demers and D. G. S. Park, Direct patterning of modied oligonu- cleotides on metals and insulators by dip-pen nanolithography, Science, vol. 296, no. 5574, pp. 18361838, Jun. 2002.

  5. K. Hennessy and C. Lent, Clocking of molecular quantum-dot cellular automata, J. Vac. Sci. Technol. B, Microelectron. Process. Phenom., vol. 19, no. 5, pp. 17521755, 2001.

  6. M. Niemier, M. Crocker, X. S. Hu, and M. Lieberman, Using CAD to shape experiments in molecular QCA, in Proc. Int. Conf. Comput. AidedDes.,2006,pp.907914.

  7. M. Niemier, M. Alam, X. Hu, W. Porod, G. Bernstein, M. Putney, and J. DeAngelis, Clocking structures and power analysis for nanomagnet- basedlogicdevices,inPro.Int.Symp.LowPowerElec.Des.,Aug.2729, 2007, pp. 2631.

  8. C. Graunke, D. Wheeler, D Tougaw, and J. Will, Implementation of a crossbar network using quantum-dot cellular automata, IEEE Trans. Nanotechnol., vol. 4, no. 4, pp. 435440, Jul. 2004. [39] M. Niemier and

P. Kogge, The 4-diamond circuita minimally com-plex nano-scale computational building block in QCA, in Proc. IEEE Comput. Soc. Symp. VLSI, 2004, pp. 310.

  1. J. Huang, M. Momenzadeh, M. Tahoori, and F. Lombardi, Design and characterization of an and-or-inverter (AOI) gate for QCA implementa- tion, in Proc. ACM Great Lakes Symp. VLSI, 2004, pp. 426429.

  2. K. McElvain (1993, May). Lgsynth benchmark set: Version 4.0 [Online]. Available: http://www.cbl.ncsu.edu:16090/benchmarks/LGSynth93 [40]

    U. C. Group (1993, Jun.). Espresso bookexamples[Online].Available:espresso-book-examples.tar.gz, http://ic.eecs.berkeley.edu/pub/Espresso/

  3. S. Hu, M. Crocker, M. Niemier, M. Yan, and G. Bernstein, PLAs in quantum-dot cellular automata, in Proc. Int. Symp. VLSI, 2006, pp. 242247.

  4. C. Lent, B. Isaksen, and M. Lieberman, Molecular quantum-dot cellular automata, J. Amer. Chem. Soc., vol. 125, no. 4, pp. 10561063, 2003.

[44]K.Walus,T.Dysart,G.Jullien,andR.Budiman,QCADesigner:Arapid design and simulation tool for quantum-dot cellular automata, IEEE Trans. Nanotechnol., vol. 3, no. 1, pp. 2631, Mar.2004.

  1. E. P. Blair, Tools for the design and simulation of clocked molecular quantum-dot cellular automata circuit, M.S. thesis, Univ. Notre Dame,NotreDame,IN,2003.

  2. Y. Wang and M. Lieberman, Thermodynamic behavior of molecular- scalequantum-dotautomata(QCA)wiresandlogicdevices, IEEE Trans. Nanotechnol., vol. 3, no. 3, pp. 368376,Sep.2004.

  3. C. Ungarelli, S. Francaviglia, M. Macucci, and G. Iannaccone, Thermal behavior of quantum cellular automaton wires, J. Appl. Phys., vol. 87, no.10,pp.73207325,2000.

  4. G. Tom and H. Taube, The mixed valence state based on µ-cyanogen- bis(pentaammineruthenium), J. Amer. Chem. Soc., vol. 97, pp. 5310 5311, 1975.

  5. M. Niemier, M. Crocker, X. S. Hu, M. Lieberman, T. Dysart, Z. Capozzi, and P. Sadarangani, Using DNA as a circuitboard for a molecular QCA PLA, in Proc. Found. Nanosci. (FNANO),2006,pp.96107.

  6. M. Liu, Robustness and power dissipation in quantum-dot cellular au- tomata, Ph.D. dissertation, Univ. Notre Dame, Notre Dame, IN, 2006.

  7. SIA, ESIA, KSIA, TSIA, and JEITA (2005, Dec.), International Technology Roadmap for Semiconductors, ITRS Update [Online].

    Available: http://www.itrs.net/Common/2004Update/2004Update.htm

  8. G. Toth and C. S. Lent, Quasiadiabatic switching for metal-island quantum-dot cellular automata, J. Appl. Phys., vol. 85, pp. 29772984, 1999.

  9. COMSOL, Inc., and associated companies (1984, Oct.), Femlab 3.0 soft- ware [Online]. Available: http://www.comsol.com

  10. M. Lieberman, private communication, 2006. [55]M.DonahueandD.PorterOOMMFusersguide,version1.0,interagency report nistir 6367 [Online]. Available: http://math.nist.gov/oommf

[56] H. Kung, Why systolic architectures, Computer, vol. 15, no. 1, pp. 37 46, Jan. 1982. [57] M. Crocker, X. Hu, and M. Niemier, Fault models and yield analysis for QCA-basedPLAs,inProc.Int.Symp.FieldProgram.Logic,Aug.2729, 2007, pp. 435440.

  1. C. S. Lent and B. Isaksen, Clocked molecular quantum-dot cellular au- tomata, IEEE Trans. Electron. Devices, vol. 50, no. 9, pp. 18901896, Sep.2003.

  2. J. Timler and C. S. Lent, Power gain and dissipation in quantum-dot cellularautomata, J.Appl.Phys.,vol.91,no.2,pp.823831,Jan.2002.

  3. V. C. Teja, S. Polisetti, and S. Kasavajjala, QCA based multiplexing of 16 arithmetic & logical subsystems a paradigm for nano computing, in Proc. 3rd IEEE Int. Conf. Nano/Micro Eng. Molecular Syst. Sanya, China, 2008, pp. 758763.

  4. K. Walus, M. Mazur, G. Schulhof, and G. A. Jullien, Simple 4-bit processor based on quantum-dot cellular automata (QCA), in Proc. Appl. Specic Archit. Processors Conf., 2005, pp. 288293.

  5. W. Aspray, A. G. Bromley, M. Campbell-Keley, P. E. Ceruzzi, and M. R. Williams, Computing Before Computers, W. Aspray, Ed., Ames, IA: Iowa State Univ. Press, 1990.

  6. M. Balch, Complete Digital Design: A Comprehensive Guide to Digital Electronics and Computer System Architecture. New York: McGraw-Hill,2003.

  7. K. Walus, G. A. Jullien, and V. S. Dimitrov, Computer arithmetic structures for quantum cellular automata, in Proc. 37th Asilomar Conf. Signals, Syst. Comput., 2003, vol. 2, pp. 14351439.

  8. F. Lombardi, J. Huang, X. Ma, M. Momenzadeh, M. Ottavi, L. Schiano, andV.Vankamamidi,DesignandTestofDigitalCircuitsbyQuantum-DotCell ularAutomata,F.LombardiandJ.Huang,Eds.,Norwood,MA,2008.

  9. I. H¨anninen and J. Takala, Binary adders on quantum-dot cellular au- tomata, J. Signal Process. Syst., vol. 58, pp. 87103, Jan. 2010.

  10. R. Zhang, K. Walus, W. Wang, and G. Jullien, Performance comparison of quantum-dot cellular automata adders, in Proc. IEEE Int. Symp. Circuits Syst. Kobe, Japan, May 2326, 2005, pp. 25222526.

  11. M. Gladshtein, Selection of fundamental information principles for the construction of a universal digital nanocomputer, Autom. Control Com- put. Sci., vol. 39, no. 1, pp. 110, Jan. 2005.

  12. T. J. Dysart and P. M. Kogge, Comparing the reliability of PLA and custom logic implementations of a QCA adder, Proc.IEEE Int.Workshop Design Test Nano Devices, Circuits Syst.,2008,pp.5356.

  13. M. Gladshtein, Improving the structure of a serial decimal processor element for a universal digital nanocomputer, Autom. Control Comput. Sci., vol. 40, no. 2, pp. 110, Mar. 2006.

  14. International Morse Code. Recommendation ITU-R M.1677. (2004). [Online]. Available: http://www.godfreydkes.info/international% 20morse%20code.pdf

  15. N. Bodley. The Friden EC-130: The worlds second electronic desktop calculator. (2010)

    [Online]Available:http://www.oldcalculatormuseum.com/nbodley.html

  16. M. Gladshtein, Algorithmic synthesis of a combinational adder of decimal digits encoded by Johnson-Mobius code, Autom. Control Comput. Sci., vol. 43, no. 5, pp. 233240, Sep. 2009.

  17. M. Gladshtein, The signal propagation delay reduction of the combinational adder of decimal digits encoded by Johnson-Mobius code, Autom. Control Comput. Sci., vol. 44, no. 2, pp. 103109, Mar. 2010.

[75]K.WalusandG.A.Jullien,DesigntoolsforanemergingSoCtechnology: Quantum-dot cellular automata, Proc. IEEE, vol. 94, no. 6, pp. 1225 1244,Jun.2006.

  1. M. Taghizadeh, M. Askari, and K. Fardad, BCD computing structures in quantum-dot cellular automata, in Proc. Int. Conf. Comput. Commun. Eng. Kuala Lumpur, Malaysia, 2008, pp. 10421045.

  2. F. Kharbash and G. M. Chaudhry, The design of quantum-dot cellular automata decimal adder, in Proc. 12th IEEE Int. Multitopic Conf., 2008, pp. 7175.

  3. V. G. Oklobdzija Ed, The Computer, Engineering Handbook. Boca Raton, FL: CRC Press,2002,pp.8186.

  4. S. Srivastava, S. Sarkar, and S. Bhanja, Estimation of upper bound of power dissipation in QCA circuits, IEEE Trans. Nanotechnol., vol. 8, no. 1, pp. 116127, Jan. 2009.

Leave a Reply