A Low Complexity ECG R-Peak Detection Bases On Noise Examined Hybrid Technique

DOI : 10.17577/IJERTV11IS050328

Download Full-Text PDF Cite this Publication

Text Only Version

A Low Complexity ECG R-Peak Detection Bases On Noise Examined Hybrid Technique

Sushind M S

Electronics and Communication Engineering Department IES College of Engineering

Thrissur-Kerala, India

Ajeesh S

Assistant Professor

Electronics and Communication Engineering Department IES College of Engineering

Thrissur-Kerala, India

Abstract Approximate computing has emerged as a key alternative for leveraging energy efficiency for most applications capable of tolerating errors from hardware. The proposed method uses an efficient hybrid mechanism to detect R-peak with less complexity and lower power consumption based on the noise level. The automatic detection of R-peaks in an electrocardiogram (ECG) signal is the essential step preceding ECG processing and analysis. The Haar discrete wavelet transform (HDWT) is a low-complexity pre-processing filter suitable to detect ECG R-peaks in embedded systems like wearable devices, which are incredibly energy constrained. The levels of HDWT are utilized based on the noise level. Further, a novel real-time QRS detector based on absolute value curve length transform (A-CLT) is proposed that effectively enhances the QRS complex detection with minimized hardware resources. In this proposed technique the pre-processing and transformation are lumped in to one component forming a modified version of curve length transform (CLT). The noise detector is used to detect the noise level and based on this hybrid ECG processor selects between HDWT and ACLT. The proposed architecture requires adders, shifters, and comparators only, and removes the need for any multipliers. QRS detection was accomplished by using adaptive thresholds in the A-CLT transformed ECG signal, and can achieve a very good sensitivity and predictivity.

Keywords Curve Length Transform, Electro Cardio Gram

I. INTRODUCTION

New and significant challenges driven by the energy efficiency concerns have been emerging across most internet of things applications. Edge computing [1] overcomes or reduces the necessity of transmitting data to produce results, saving communication energy and reducing the dependence on data centers by sending compact data or just decisions taken at the edge. Batteries combined with energy-harvested systems generally sustain biomedical wearable devices and implantable devices that are extremely energy-constrained [2]. Notably, medical wearable devices have to guarantee a significant accuracy of electrocardiogram (ECG) processing. Therefore, biomedical algorithm kernels processing at the edge must be carefully implemented within dedicated hardware architectures, preferably using ultra-low-power VLSI design techniques to ensure its sustainability.

Automatic detection of the R-peaks in the ECG signal is the essential step preceding ECG processing and analysis in industrial- strength biomedical applications. R-peak detection task is an intrinsically approximate operation with a binary result (i.e., true/false). Therefore, there is an ample design space for exploring different approximate computing techniques. The Haar discrete

wavelet transform is a low-complexity pre-processing algorithm suitable to detect ECG R-peaks in low-power embedded systems. Therefore, the critical challenge addressed in this work is how to reduce power dissipation in the HDWT hardware design.

Approximate computing has emerged as a key alternative for leveraging energy efficiency for most applications capable of tolerating errors from hardware, as if they were just another noise source. Error-tolerant applications such as video [3] & signal processing [4], can process the information with lower than standard accuracy at the circuit level while still performing, within the overall application, at an acceptable quality for the user. Recently, approximate transforms have been emerging as an alternative to reduce the complexity of its hardware [5][8]. However, most of the literatures transform approximations have been proposed for multimedia processing, lacking ECG processing solutions.

ECG is a standard exam that records the hearts electrical activities over a given period. Through this exam, it is possible to measure the electrical activity of the heart muscles. With this, it is possible to identify patterns and to diagnose a series of cardiac diseases, such as arrhythmia, coronary blockage, pericarditis, congenital heart disease, and conduction disorders. An ECG signal, or a cardiac cycle, is formed by a sequence of recurring waves called the P wave, a QRS complex, and a T wave (shown in Fig. 1). In some cases, we can visualize a U wave. However, in most cases, it is overlapped with the other waves. Fig. 1 illustrates the standard model format of a typical ECG signal. One of the ECG signal processing essential parts is the interpretation of the QRS complex. The R wave is the most prominent concerning the others, making its detection straighter forward. Determining the peak R plays a crucial role in ECG applications, including detecting irregular heart rhythms and determining heart rate variability [9], [10]. However, identifying the peak R is challenging due to the physiological variability of the QRS complex and the presence of noises added to the ECG signal. A multitude of techniques proposes to extract the fiducial points of an ECG signal, including the peak R. From these, we can mention artificial neural networks [11], hidden Markov models [12], digital filters [13], Hilbert transform [14], and independent component analysis (ICA) [15]. Another line of approach that has been gaining ground in this type of application is the wavelet transform (WT), mainly for its ability to analyze signals with different frequency contents.

To measure the R-peak detection performance of the algorithms usually the following specific metrics [16] are

considered: sensitivity (Se) in (1), positive prediction (+P) in (2), and accuracy (Acc) in (3). The true positive (TP) represents the R peaks detected by the algorithm. The false negative (FN) are the peaks that are no longer detected. Finally, false positives (FP) correspond to the detected false R peaks [17]. The Se metric evaluates the systems capacity to capture the TP related to the total peaks in the signal. The

+P metric quantify the quality in the detection of positive peaks by measuring how the percentage of positive peaks detected by the system are true considering the FP. Acc is an overall R-peak detection performance metric that embraces both FN and FP to quantify the percentage of hits in detection over the total of system decisions.

We considered two criteria to determine the choice of the HDWT among the other WTs: i) The higher performance in detecting the peaks R shown in Table I, ii) The HDWT fewer complexity according to [17].We developed an common threshold algorithm in Matlab software to verify the behavior of the HDWT in the detection of R-peaks. This algorithm apply a threshold calculated through the current maximum average value updated with a sliding window of 8 samples (as in [17]). Thus, one detects the R peaks in the signal using the detail coefficients of the HDWT. This means that a minimum limit is defined so that the algorithm travels the signal looking for values above that threshold, determining the R peaks of the signal. From that, it was possible to determine the precision level of this mother WT. ECG signals were analyzed using the publicly available MIT-BIH database in [18] to validate the implemented detection algorithm, whose characteristics and diagnoses are previously known. This database has a further set of 4, 000 recordings, from 47 differnt patients, obtained by the Arrhythmia Laboratory at Beth Israel Hospital, in the city of Boston. Each record contains just over 30 minutes of recording with 650k samples. According to the dataset provider [18], the recordings were digitized at 360 samples per second in per channel with 11-bit resolution over a 10 mV precision range. We randomly selected eighth ECG signals of the MIT-BIH database. The ECG signals from healthy patients have the following identification (ID): 100, 101, 103, and 115; while patients with some heart disease are: 205, 209, 220, and 234.

This part of the work investigates what level of resolution of the HDWT will offer greater efficiency to process the ECG signal. Also, it examines how to implement the HDWT so that it has the least possible number of arithmetic operations. An essential parameter in this analysis concerns the signal energy. According to [17], the low- frequency part concentrates most of the QRS complexs energy. On the other hand, the work in [19] indicates that the band 5-15Hz concentrates most of that energy. From this information, Table II performs an analysis of the 3dB bandwidth for different levels of the HDWT with a sampling frequency of 360Hz. Table II shows that up to the 23 scale, there is an extensive pass-band frequency range, making it impossible to eradicate some portion of noise (like the 60Hz AC line induction noise). In the 25 scale, the frequency range is too narrow, causing loss of information and degrading the ECG signal. Therefore, the HDWT resolution

level that presents the best performance for the application is the 24 scale.

A Haar transform is the simplest of Wave Transform. In discrete form, Haar wavelets regard a mathematical operation called the Haar transform [20], [21], defined by the relations in (4). The indices M and N correspond to the scale and translation, respectively, where M is a natural number assumed to vary from 1 to M, and N is an integer. Thus, one can represent the HDWT by a M × M orthogonal matrix HM, where N = 2M. The matrix of the HDWT for M = 4 and N = 16 is shown in (5). One calculates the HDWT by filtering the signal, followed by a down-sampling process. Shows the down-sampling diagram. The signal will be divided into approximation coefficients, which refer to the low-frequency signal and the detail coefficients related to the high-frequency portion. At the end of M interactions, we obtain the desired resolution level. The signal X n in Fig. 2 belongs to the V0 function space. The low- pass (HL) and high-pass (HH) stages filter the input signal, and are down-sampled by a factor of 2, resulting in the coefficients of detail (d1) and approximation (a1), belonging to spaces W1 and V1, respectively. Similarly, to the coefficients of approximation of V1 applies the WT, thus obtaining the signals of d2 and a2, belonging to the subspace W2 and V2, respectively. This process repeats until reaching the desired wavelet scale. Mathematically, given an input signal, X(n) =

{x1, x2, x3, . . . . . . , xn}, where n is the number of elements in the vector, the approximation and details coefficients of the first level resolution will be calculated according to (6) and (7), respectively.

Fig. 1 Haar Wavelet Transform

As The coefficients of the next levels are found by just applying (6) and (7) in the results of the lower-level approximation coefficients. These calculations continue until the desired scale is reached.

  1. PROPOSED METHOD

    The proposed method uses an efficient hybrid mechanism to detect R-peak with less complexity and lower power consumption based on the noise level. The Haar discrete wavelet transform is a low-complexity pre-processing filter suitable to detect ECG R peaks in embedded systems like devices that are wearable, which are incredibly energy constrained. The levels of HDWT are utilized based on the noise level. Further, a novel real-time QRS detector based on absolute value curve length transform (A-CLT) is proposed that effectively enhances the QRS complex detection with minimized hardware resources. In this proposed technique the pre-processing and transformation are lumped in to one

    component forming a new version of curve length transform (CLT). The noise detector is used to detect the noise level and based on this hybrid ECG processor selects between HDWT and ACLT. The proposed architecture requires adders, shifters, and comparators only, and removes the need for any multipliers. QRS detection was accomplished by using adaptive thresholds in the A-CLT transformed ECG signal, and can achieve a very good sensitivity and predictivity.

  2. RESULTS AND DISCUSSION

    This work presented an approximate pruned and truncated HDWT hardware architecture for energy-efficient processing of ECG signals. The approximation and pruning in the original matrix of the HDWT, with four decomposition levels, enabled a significant elimination of arithmetic operations and bit-width reduction – all with an acceptable SNR loss at circuit-level and fulfilling the ultimate quality requirements at the application-level. Our approximate pruning HDWT matrix proposal allowed the implementation of a multiplier less architecture with just seven additions.

    This paper also investigated the effects of truncating the data path in the approximate architectures. Quality analysis and synthesis results demonstrated that the approximate pruned hardware architecture proposal for HDWT with up to 5-bit truncation level achieved the equivalent quality of the original HDWT without compromising the R-Peak detection performance, giving a significant reduction in circuit area. Hence, the proposed approximate, pruned, and truncated HDWT results have demonstrated promising contributions towards implementing energy-efficient ECG processors for emerging biomedical devices in the IoT era.

    Fig R-Peak Detection

  3. CONCLUSION

In this article, an approximate pruned and truncated HDWT hardware architecture for energy-efficient processing of ECG signals. The approximation and pruning in the original matrix of the HDWT, with four decomposition levels, enabled a significant elimination of arithmetic operations and bit-width reduction – all with an acceptable SNR loss at circuit-level and fulfilling the ultimate quality requirements at the application- level. Our approximate pruning HDWT matrix proposal allowed the implementation of a multiplier less architecture with just seven additions. This paper also investigated the effects of truncating the data path in the approximate architectures. Quality analysis and synthesis results demonstrated that the approximate pruned hardware

architecture proposal for HDWT with up to 5-bit truncation level achieved the equivalent quality of the original HDWT without compromising the R-Peak detection performance, giving a significant reduction of in power dissipation and in circuit area. Hence, the proposed approximate, pruned, and truncated HDWT results have demonstrated promising contributions towards implementing energy-efficient ECG processors for emerging biomedical devices in the IoT era..

REFERENCES

[1] K. Otseidu, T. Jia, J. Bryne, L. Hargrove, and J. Gu, Design and optimization of edge computing distributed neural processor for biomedical rehabilitation with sensor fusion, in Proc. Int. Conf. Comput.-Aided Design, Nov. 2018, pp. 18.

[2] S. K. Cherupally et al., ECG authentication hardware design with low- power signal processing and neural network optimization with low precision and structured compression, IEEE Trans. Biomed. Circuits Syst., vol. 14, no. 2, pp. 198208, Apr. 2020.

[3] G. Paim, L. M. G. Rocha, H. Amrouch, E. A. C. da Costa, S. Bampi, and

J. Henkel, A cross-layer gate-level-to-application co-simulation for design space exploration of approximate circuits in HEVC video encoders, IEEE Trans. Circuits Syst. Video Technol., vol. 30, no. 10, pp. 38143828, Oct. 2020.

[4] L. B. Soares, M. M. A. da Rosa, C. M. Diniz, E. A. C. da Costa, and S. Bampi, Desig methodology to explore hybrid approximate adders for energy-efficient image and video processing accelerators, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 66, no. 6, pp. 21372150, Jun. 2019.

[5] S.-F. Hsiao, Y. Hen Hu, T.-B. Juang, and C.-H. Lee, Efficient VLSIimplementations of fast multiplierless approximated DCT using parameterized hardware modules for silicon intellectual property design, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 8, pp. 15681579, Aug. 2005.

[6] U. S. Potluri, A. Madanayake, R. J. Cintra, F. M. Bayer, S. Kulasekera, and A. Edirisuriya, Improved 8-point approximate DCT for image and video compression requiring only 14 additions, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 6, pp. 17271740, Jun. 2014.

[7] G. Paim, L. M. G. Rocha, G. M. Santana, L. B. Soares, E. A. C. da Costa, and S. Bampi, Power-, area-, and compressionefficient eight-point approximate 2-D discrete Tchebichef transform hardware design combining truncation pruning and efficient transposition buffers, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 66, no. 2, pp. 680693, Feb. 2019.

[8] M. Jridi, A. Alfalou, and P. K. Meher, A generalized algorithm and reconfigurable architecture for efficient and scalable orthogonal approximation of DCT, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 2, pp. 449457, Feb. 2015.

[9] P. Kathirvel, M. Sabarimalai Manikandan, S. R. M. Prasanna, and K. P. Soman, An efficient R-peak detection based on new nonlinear transformation and first-order Gaussian differentiator, Cardiovascular Eng. Technol., vol. 2, no. 4, pp. 408425, Dec. 2011.

[10] H. Rabbani, M. Mahjoob, E. Farahabadi, and A. Farahabadi, R peak detection in electrocardiogram signal based on an optimal combination of wavelet transform, Hilbert transform, and adaptive thresholding, J. Med. Signals Sensors, vol. 1, no. 2, p. 91, 2011.

[11] Z. Dokur, T. Ölmez, E. Yazgan, and O. K. Ersoy, Detection of ECG waveforms by neural networks, Med. Eng. Phys., vol. 19, no. 8, pp. 738741, Oct. 1997.

[12] D. A. Coast, R. M. Stern, G. G. Cano, and S. A. Briller, An approach to cardiac arrhythmia analysis using hidden Markov models, IEEE Trans. Biomed. Eng., vol. 37, no. 9, pp. 826836, Sep. 1990.

[13] V. X. Afonso, W. J. Tompkins, T. Q. Nguyen, and S. Luo, ECG beat detection using filter banks, IEEE Trans. Biomed. Eng., vol. 46, no. 2, pp. 192202, Feb. 1999.

[14] D. S. Benitez, P. A. Gaydecki, A. Zaidi, and A. P. Fitzpatrick, A new QRS detection algorithm based on the Hilbert transform, in Proc. Comput. Cardiol., 2000, pp. 379382.

[15] Z. Yong, H. Wenxue, X. Yonghong, and C. Jianxin, ECG beats classification based on ensemble feature composed of independent components and QRS complex width, in Proc. Int. Conf. Comput. Sci. Softw. Eng., 2008, pp. 868871

[16] P. Guyot et al., R-peak detection in Holter ECG signals using nonnegative matrix factorization, in Proc. Comput. Cardiol. Conf. (CinC), Dec. 2018, pp. 14.

[17] P. Li et al., A 410-nw efficient QRS processor for mobile ECG monitoring in 0.18-m cmos, IEEE Trans. Biomed. Circuits Syst., vol. 11, no. 6, pp. 13561365, Dec. 2017.

[18] M. L. for Computational Physiology. (1999). Physionet Database. [Online]. Available: https://physionet.org/about/database/

[19] J. Pan and W. J. Tompkins, A real-time QRS detection algorithm, IEEE Trans. Biomed. Eng., vols. BME-32, no. 3, pp. 230236, Mar. 1985.

[20] M. Doroslovacki and H. Fan, On-line identification of echo-path impulse responses by Haar-wavelet-based adaptive filter, in Proc. Int. Conf. Acoust., Speech, Signal Process., May 1995, pp. 10651068.

[21] K. Rao and N. Ahmed, Orthogonal transforms for digital signal processing, in Proc. IEEE Int. Conf. Acoust., Speech, Signal Process., Apr. 1976, pp. 136140.

[22] S. Jain and B. Bhaumik, An energy efficient application specific integrated circuit for electrocardiogram feature detection and its potential for ambulatory cardiovascular disease detection, Healthcare Technol. Lett., vol. 3, pp. 7784, Mar. 2016.

[23] M. Aravind Kumar and K. Manjunatha Chari, Efficient FPGA-based VLSI architecture for detecting R-peaks in electrocardiogram signal by combining Shannon energy with Hilbert transform, IET Signal Process., vol. 12, no. 6, pp. 748755, Aug. 2018.

[24] P. Augustyniak, Adaptive sampling of the electrocardiogram based on generalized perceptual features, Sensors, vol. 20, p. 373, Jan. 2020.

[25] V. Vijendra and M. Kulkarni, ECG signal filtering using DWT Haar wavelets coefficient techniques, in Proc. Int. Conf. Emerg. Trends Eng., Technol. Sci. (ICETETS), Feb. 2016, pp. 16.

[26] A. M. Sunny and N. Jacob, Application of distributed arithmetic in image and ECG signal processing, in Proc. Int. Conf. Current Trends Comput., Electr., Electron. Commun. (CTCEEC), Sep. 2017, pp. 790 793.

[27] R. Sundarasekar et al., Internet of Things with maximal overlap discrete wavelet transform for remote health monitoring of abnormal ECG signals, J. Med. Syst., vol. 42, no. 11, pp. 113, Nov. 2018.

[28] C. Padmavathi, An optimized FPGA based system design for the arrhythmia detection using ECG, Int. J. Innov. Technol. Exploring Eng., vol. 9, no. 1, pp. 28082818, 2019.

[29] C.-I. Ieong, M. Li, M.-K. Law, P.-I. Mak, M. I. Vai, and R. P. Martins, A 0.45 V 147375 nW ECG compression processor with wavelet shrinkage and adaptive temporal decimation architectures, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 25, no. 4, pp. 13071319, Apr. 2017.

[30] P. Li, M. Liu, X. Zhang, and H. Chen, A low-complexity ECG processing algorithm based on the Haar wavelet transform for portable health-care devices, Sci. China Inf. Sci., vol. 57, no. 12, pp. 114, Dec. 2014.

Leave a Reply