Review: MEMS Fabrication Technology

DOI : 10.17577/IJERTV2IS110130

Download Full-Text PDF Cite this Publication

Text Only Version

Review: MEMS Fabrication Technology

N. Pannaga1, Dr. Rajesh Rai.P 2

  1. M. Tech scholar, Department of Mechanical, Mangalore Institute of Technology & Engineering (MITE)

  2. Professor, Department of Mechanical, Mangalore Institute of Technology & Engineering (MITE)

Abstract: Microelectromechanical systems (MEMS) have recently become an important area of technology, building on the success of the microelectronics industry over the past 50 years.MEMS devices are manufactured using similar microfabrication techniques as those used to create integrated circuits. They often have moving components that allow a physical or analytical function to be performed by the device in addition to their electrical functions. Micromachining technology has greatly benefited from the success of the integrated circuits industry, borrowing materials, processes, and toolsets. Because of this, icroelectromechanical systems (MEMS) are now poised to capture large segments of existing sensor markets and to catalyze the development of new markets. Given the emerging importance of MEMS, it is instructive to review the fabrication technology, and to examine new developments in the field.

Keywords Fabrication Technologies, IC Fabrication, Bulk Micromachining and Wafer Bonding, Surface Micromachining, Micromolding, Deep Silicon Etch, Dielectric Deposition, Metallization, CMP (Chemical Mechanical Planarization), Epitaxial Deposition, Metrology and Inspection, Low Cost MEMS Fabrication using Injection Molding

INTRODUCTION

The past decade has seen the rapid growth of microelectromechanical systems (MEMS) as an important area of technology, growth which is expected to continue well into the next century. The basic premise behind the concept of MEMS is that the efficiencies of high volume production and low unit cost achieved by the microelectronics industry over the past 50 years can be translated to devices in which mechanical and electrical components are integrated within a single silicon chip MEMS devices are all around us today from accelerometers and gyroscopes that enable todays sophisticated mobile interfaces to automobile navigation and airbag sensors, and medical and communications devices. The micrometer-scale moving parts of MEMS devices are fabricated using techniques derived from semiconductor IC

processing such as plasma etch, thin film deposition and photolithography. MEMS fabrication is an extremely exciting endeavor due to the customized nature of process technologies and the diversity of processing capabilities. MEMS fabrication uses many of the same techniques that are used in the integrated circuit domain such as oxidation, diffusion, ion implantation, LPCVD, sputtering, etc., and combines these capabilities with highly specialized micromachining processes.

Fabrication Technologies

The three characteristic features of MEMS fabrication technologies are miniaturization, multiplicity, and microelectronics. Miniaturization enables the production of compact, quick-response devices. Multiplicity refers to the batch fabrication inherent in semiconductor processing, which allows thousands or

millions of components to be easily and concurrently fabricated. Microelectronics provides the intelligence to MEMS and allows the monolithic merger of sensors, actuators, and logic to build closed-loop feedback components and systems. The successful miniaturization and multiplicity of traditional electronics systems would not have been possible without IC fabrication technology. Therefore, IC fabrication technology, or microfabrication, has so far been the primary enabling technology for the development of MEMS. Microfabrication provides a powerful tool for batch processing and miniaturization of mechanical systems into a dimensional domain not accessible by conventional (machining) techniques. Furthermore, microfabrication provides an opportunity for integration of mechanical systems with electronics to develop high-performance closed-loop-controlled MEMS.

Advances in IC technology in the last decade have brought about corresponding progress in MEMS fabrication processes. Manufacturing processes allow for the monolithic integration of microelectromechanical structures with driving, controlling, and signal-processing electronics. This integration promises to improve the performance of micromechanical devices as well as reduce the cost of manufacturing, packaging, and instrumenting these devices.

IC Fabrication

Any discussion of MEMS requires a basic understanding of IC fabrication technology, or microfabrication, the primary enabling technology for the development of MEMS. The major steps in IC fabrication technology are film growth, doping, lithography, etching, dicing, and packaging.

Film growth: Usually, a polished Si wafer is used as the substrate, on which a thin film is grown. The film, which may be epitaxial Si, SiO2, silicon nitride (Si3N4), polycrystalline Si (polysilicon), or metal, is used to build both active or passive components and interconnections between circuits.

Doping: To modulate the properties of the device layer, a low and controllable level of an atomic impurity may be introduced into the layer by thermal diffusion or ion implantation.

Lithography: A pattern on a mask is then transferred to the film by means of a photosensitive (i.e., light sensitive) chemical known as a photoresist. The process of pattern generation and transfer is called photolithography. A typical mask consists of a glass plate coated with a patterned chromium (Cr) film.

Etching: Next is the selective removal of unwanted regions of a film or substrate for pattern delineation. Wet chemical etching or dry etching may be used. Etch-mask materials are used at various stages in the removal process to selectively prevent those portions of the material from being etched. These materials include SiO2, Si3N4, and hard- baked photoresist.

Dicing: The finished wafer is sawed or machined into small squares, or dice, from which electronic components can be made.

Packaging: The individual sections are then packaged, a process that involves physically locating, connecting, and protecting a device or component. MEMS design is strongly coupled to the packaging requirements, which in turn are dictated by the application environment.

Bulk Micromachining and Wafer Bonding

Bulk micromachining is an extension of IC technology for the fabrication of 3D structures. Bulk micromachining of Si uses wet- and dry- etching techniques in conjunction with etch masks and etch stops to sculpt micromechanical devices from the Si substrate. The two key capabilities that make bulk micromachining a viable technology are:

  1. Anisotropic etchants of Si, such as ethylene-diamine and pyrocatechol (EDP), potassium hydroxide (KOH), and hydrazine (N2H4). These preferentially etch single crystal Si along given crystal planes.

  2. Etch masks and etch-stop techniques that can be used with Si anisotropic etchants to selectively prevent regions of Si from being etched. Good etch masks are provided by SiO2 and Si3N4, and some metallic thin films such as Cr and Au (gold).

A drawback of wet anisotropic etching is that the microstructure geometry is defined by the internal crystalline structure of the substrate. Consequently, fabricating multiple, interconnected micromechanical structures of free-form geometry is often difficult or impossible. Two additional processing techniques have extended the range of traditional bulk micromachining technology: deep anisotropic dry etching and wfer bonding. Reactive gas plasmas can perform deep anisotropic dry etching of Si wafers, up to a depth of a few hundred microns, while maintaining smooth vertical sidewall profiles. The other technology, wafer bonding, permits a Si substrate to be attached to another substrate, typically Si or glass. Used in combination, anisotropic etching and wafer bonding techniques can construct

3D complex microstructures such as microvalves and micropumps.

Surface Micromachining

Surface micromachining enables the fabrication of complex multicomponent integrated micromechanical structures that would not be possible with traditional bulk micromachining. This technique encases specific structural parts of a device in layers of a sacrificial material during the fabrication process. The substrate wafer is used primarily as a mechanical support on which multiple alternating layers of structural and sacrificial material are deposited and patterned to realize micromechanical structures. The sacrificial material is then dissolved in a chemical etchant that does not attack the structural parts. The most widely used surface micromachining technique, polysilicon surface micromachining, uses SiO2 as the sacrificial material and polysilicon as the structural material.

At the University of Wisconsin at Madison, polysilicon surface micromachining research started in the early 1980s in an effort to create high- precision micro pressure sensors. The control of the internal stresses of a thin film is important for the fabrication of microelectromechanical structures. The microelectronic fabrication industry typically grows polysilicon, silicon nitride, and silicon dioxide films using recipes that minimize time. Unfortunately, a deposition process that is optimized to speed does not always create a low internal stress film. In fact, most of these films have internal stresses that are highly compressive (tending to contract). A freestanding plate of highly compressive polysilicon that is held at all its edges will buckle (i.e., collapse or give way). This is highly undesirable. The solution is to modify the film deposition process to control the

internal stress by making it stress-free or slightly tensile.

One way to do this is to dope the film with boron, phosphorus, or arsenic. However, a doped polysilicon film is conductive, and this property may interfere with the mechanical devices incorporated electronics. Another problem with doped polysilicon is that it is roughened by hydrofluoric acid (HF), which is commonly used to free sections of the final mechanical device from the substrate. Rough polysilicon has different mechanical properties than smooth polysilicon. Therefore, the amount of roughening must be taken into account when designing the mechanical parts of the micro device.

A better way to control the stress in polysilicon is through post annealing, which involves the deposition of pure, fine-grained, compressive (i.e., can be compressed) polysilicon. Annealing the polysilicon after deposition at elevated temperatures can change the film to be stress-free or tensile. The annealing temperature sets the film's final stress. After this, electronics can then be incorporated into polysilicon films through selective doping, and hydrofluoric acid will not change the mechanical properties of the material.

Deposition temperature and the film's silicon to nitride ratio can control the stress of a silicon nitride (Si3N4) film. The films can be deposited in compression, stress-free, or in tension.

Deposition temperature and post annealing can control silicon dioxide (SiO2) film stress. Because it is difficult to control the stress of SiO2 accurately, SiO2 is typically not used as a mechanical material by itself, but as electronic isolation or as a sacrificial layer under polysilicon.

Micromolding

In the micromolding process, microstructures are fabricated using molds to define the deposition of the structural layer. The structural material is deposited only in those areas constituting the microdevice structure, in contrast to bulk and surface micromachining, which feature blanket deposition of the structural material followed by etching to realize the final device geometry. After the structural layer deposition, the mold is dissolved in a chemical etchant that does not attack the structural material. One of the most prominent micromolding processes is the LIGA process. LIGA is a German acronym standing for lithographie, galvanoformung, und abformung (lithography, electroplating, and molding). This process can be used for the manufacture of high-aspect-ratio 3D microstructures in a wide variety of materials, such as metals, polymers, ceramics, and glasses. Photosensitive polyimides are also used for fabricating plating molds. The photolithography process is similar to conventional photolithography, except that polyimide works as a negative resist.

Deep Silicon Etch

Deep silicon etch is the key to fabricating three-dimensional mechanical elements. A high power plasma is used to erode the wafer surface, leaving the desired structure behind. While there are numerous applications of dry etch processes in MEMS, the deep silicon etch requirements of freely movable, capacitively coupled MEMS such as those used for inertial measurement, pose the greatest process challenges. Accurate and repeatable profile control across the wafer, fast etch rate and high device yield are principal requirements for todays critical MEMS etch processes.

Figure: – Applied Centura DPS DT+ Etch

The DPS DT+ etch system is a production proven deep reactive ion etch (DRIE) technology delivering a high degree of process uniformity and control, combined with unmatched reliability. Requiring no dry clean between wafers, the DPS DT+ is capable of delivering high productivity whilst maintaining excellent particle performance in the most demanding production environments.

With more than 5,000 systems installed worldwide, the Centura Platform delivers a high level of productivity with a low total cost-of-ownership. Supporting 150mm and 200mm wafer sizes, the Centura platform can accommodate up to four DPS DT+ DRIE chambers for high volume manufacturing.

Dielectric Deposition

Thick layers of dielectric material are deposited by chemical vapor deposition (CVD) to act as electrical insulators, sacrificial layers or as planarization layers for subsequent process steps. Since the early 1990s, Applied Materials has led the semiconductor dielectric deposition market with its single-wafer chemical vapor deposition (CVD) technologies. Applied has worked

with its partners to adapt these technologies to applications high-volume MEMS manufacturing. Applied Materials is engaged in continued development to deliver material solutions to meet the stringent fabrication requirements of MEMS, including, thick films, engineered stress layers, low temperature, CMOS compatible films and films for harsh environments.

Figure: – Applied Centura DxZ CVD

Regarded as the industrys leading single-wafer CVD chamber, the DxZ chamber technology has a proven track- record with over 25 million wafers processed in

dielectricPECVD applications.

The high-productivity Centura platform, which offers the flexibility to handle 150mm and 200mm wafers, can support up to four single wafer process chambers.

Figure: – Applied Producer DxZ CVD

For the highest available wafer throughput, the production-proven DxZ dielectric deposition technology is also available on Applieds high productivity

Producer platform. Capable of processing six wafers simultaneously in paired reactors, the Producer platform can deliver the MEMS industrys lowest cost-per- wafer for dielectric deposition applications.

Figure: – Applied Centura LPCVD

Low Pressure Chemical Vapor Deposition (LPCVD) chambers offer uniform deposition of undoped, in-situ doped poly silicon, Silicon Nitride and High Temp Oxide (HTO) films with excellent repeatable performace. The Centura LPCVD system combines the advantages of single-wafer speed, control and flexibility to satisfy the increasingly difficult demands of advanced MEMS applications.

Metallization

Metallic conducting and semiconducting layers are deposited using physical vapor deposition (PVD) to form structures such as interconnects and reflective layers.The ability to deposit a wide variety of ultra-pure films is key to the fabrication of many MEM devices today. From thick metal layers used in high power applications to highly uniform piezo-electric layers used for communications the requirement to deposit metal-based material layers with tight control over film thickness has evolved well beyond reflector layers traditionally used in optical MEMS.

Figure: – Applied Endura

MEMS fabrication requires the depostion of a wide range of materials using PVD technology, such as AlN for RF devices and Al for mechanical switches and barrier films.

Using the Endura platform, the leading semiconductor metallization platform since its launch in 1990, Applied has developed proven processes for depositing the complete range of materials used in MEMs devices today.

The Endura system's industry- leading PVD technology delivers highly uniform, highly conformal films with tunable stress. Delivering the most efficient raw material usage, and the highest throughput and reliability available today, the Endura platform from Applied Materials is the right choice for MEMS PVD.

CMP (Chemical Mechanical Planarization)

MEMS wafers are planarized using CMP before the fabrication of each structural level to ensure that each layer is built on a perfectly level surface. As MEMS device complexity increases, the number of levels is also increasing, placing new demands on the ability of CMP technology to optimize planarity performance across the wafer for materials with very different mechanical properties,

while achieving rapid removal rates to maximize productivity and drive down costs. Applied Materials continues to develop CMP solutions for enhanced productivity in high-volume MEMS manufacturing, offering MEMS device makers proven solutions with unmatched reliability and on-wafer performance.

Figure: – Applied Mirra Plus CMP

The production-proven Mirra Plus CMP system is ideally suited for the high volume production of MEMS devices. The proprietary Titan polishing head technology features five-zone downforce control combined with advanced endpoint solutions to delivers best-of-breed process performance to maximize device yield.

With an installed base of more than 1800 systems, the Mirra platform delivers high productivity by maximizing throughput while minimizing cost-of- ownership and cost-of-consumables. Supporting both 150mm and 200mm wafer sizes, the flexible Mirra architectures three polishing stations enable a wide range of MEMS planarization applications.

Epitaxial Deposition

Epitaxial deposition is used to deposit, or grow monocrystalline layers used as high-quality structural or mechanical elements, and packaging layers. Epitaxially-deposited films of single- and poly-crystalline Si, Ge and SiGe are widely used for structural and sacrificial films in MEMS applications. Reduced pressure processing is utilized in many semiconductor applications from traditional bipolar and BiCMOS processing to more advanced low

temperature applications. The Epi Centura offers superior temperature, pressure and gas flow control for different process requirements in the semiconductor industry as well as other applications such as MEMS.

Figure: – Applied Centura Epi RP

The Centura Epi RP system is a production-proven, single-wafer, multi- chamber epitaxial silicon deposition system with worldwide installations. Each radiantly-heated process chamber provides precise and repeatable control of deposition conditions, ensuring superior film quality. The wide range of temperatures and pressures and the excellent temperature uniformity of the Centura Epi system enable advanced low temperature epitaxial and polycrystalline deposition processes such as germanium and silicon-germanium that are used in many MEMS structures.

Metrology and Inspection

With larger, higher aspect ratio features than are found in conventional semiconductor manufacturing, MEMS fabrication poses new challenges for metrology and inspection systems. In addition to aspect ratios approaching 100:1 and micrometer-scale critical dimensions, Applied Materials inspection, review and metrology systems have demonstrated the ability to characterize wafer center-to-edge effects like sidewall tilt, roughness and mask undercut, which are critical elements that impact device yield. With advanced capabilities such as tilted electron beam imaging, laser-based inspection and in-situ material analysis, Applieds suite of

metrology tools offer customers early identification of process excursions, drive yield improvement and decrease the cycle time for new product development.

Figure: – Applied VeritySEM Metrology

The fully-automated VeritySEM metrology solution offers advanced features such as tilt imaging, 3D measurements, sidewall angle and roughness analysis to help MEMS manufacturers perform critical dimension measurement at very high SEM resolution while maintaining high throughput and low cost of ownership. With over 500 systems installed worldwide, the VeritySEM platform supports 150mm, 200mm and 300mm wafer sizes with multiple substrate handling options.

Figure: – Applied SEMVision Defect Analysis

With over 750 systems installed worldwide the SEMVision is the market leader in inline defect review. The

systems high speed enables MEMS device makers to make defect review and integral part of the manufacturing process, instead of relying on time-consuming off- line measurements. The platform includes advanced capabilities to speed root cause analysis such as in-situ material analysis, tilt up to 45 degrees, 360° rotation, side wall SEM imaging and ion-beam cross sectioning. The system supports 200mm and 300mm wafer size with multiple factory interface handling options.

Figure: – Applied ComPASS Inspection

Applieds laser-based wafer inspection systems deliver high sensitivity defect detection with fast inspection speed to provide in-line yield enhancement support. The system supports inspection applications at all stages of MEMS manufacturing with capabilities such as tilt and normal incident laser scan, automatic defect classification, polarization control, particle and pattern defect detection. The ComPASS platform supports 150mm, 200mm and 300mm wafer size with multiple factory interface handling options.

Low-cost MEMS fabrication using injection molding

A microelectromechanical system (MEMS) fabrication technology using printing and injection molding, fabrication of large-area devices with low capital investment, without a vacuum process, and lower production costs. Thus, MEMS devices can be made and applied for fields where manufacturing cost has been an issue, such as lighting.

The team from the Research Center for Ubiquitous MEMS and Micro Engineering of the National Institute of Advanced Industrial Science and Technology (AIST) integrated microfabrication technology and MEMS design evaluation technology, and combined it with Design Tech Co. Ltd.s signal processing technology to fabricate a lighting device.

Conventional commercial MEMS devices use fabrication techniques with semiconductor manufacturing systems used to produce integrated circuits, including vacuum processes. Resins could be used to form patterns onto moving microstructures but production costs are high due to vacuum-based processes. Also, it has proven difficult to form and thin MEMS structures such as springs and cantilevers because resins harden immediately after mold injection.

AIST researchers now say they have realized low-cost printing and transferred the structure using injection moldig, and improved the mold structure to fill thin moving structures. A film for transferring the MEMS functional laser is formed, and the release layer and MEMS functional layer are printed onto the film with a screen or gravure printer. The printed film is aligned and put into an injection mold, into which is injected a molten resin that is cooled and solidified

into the MEMS structure. The mold is then opened and the MEMS structure is separated from the film; the ink layers printed on the film are transferred to the MEMS structure.

Figure : MEMS fabrication processes by printing and injection molding.

The printed MEMS functional layers can be changed according to the desired purpose of the MEMS device from acceleration sensors and gas sensors to power generation devices. This enables low-cost MEMS fabrication in fields where costs are currently too high. One example the AIST highlights is in light distribution control of LED lighting. MEMS mirrors produced with semiconductor manufacturing processes are based on costs determined by devices per wafer; so large-area mirrors are costly, while more cost-friendly micromirrors necessitate a more complex optical system. This new MEMS fabrication technology, though, could produce low-cost large MEMS devices (larger than several mm across), which opens the door for MEMS- based active light distribution control devices. Future work will seek to improve the symmetry of the MEMS mirror synchronization with the LED timing, and expand the range of the light distribution by improving the arrangement of the optical system, the signal processing, and the control circuit.

Figure : MEMS mirrors for active light distribution fabricated by using only printing and injection molding (left), and examples of the resulting light distribution patterns (right).

Injection molding can be used easily to form complex 3D objects such as spheres; the researchers expect MEMS devices will be formed on the surface of, or inside, 3D objects. Moreover, injection molding processes are commonly available in Japan, and systems cost less than semiconductor manufacturing systems. AIST projects its work will lead to MEMS fabrication coming out of non- semiconductor industries, such as plastics molding and participation from these other sectors into MEMS manufacturing will help develop new applications for MEMS devices.

A reflective mirror and a mirror displacement sensor incorporated into a MEMS mirror device for lighting. A mirror ink for the reflective mirror, a conductive ink for the strain sensor, and a magnetic ink for driving the mirror are printed on the film, and then the printed ink patterns are transferred to the MEMS structure by injection molding. The MEMS mirror device for lighting did not break after more than 100 million operations driven by an external coil. Bottom: A MEMS device array can

be fabricated using an arrayed MEMS pattern mold.

Figure : Examples of MEMS devices fabricated with the AIST technology. Top & middle:

Applications of MEMS

There are plenty of applications for MEMS. As a breakthrough technology, MEMS is building synergy between previously unrelated fields such as biology and microelectronics, many new MEMS and Nanotechnology applications will emerge, expanding beyond that which is currently identified or known. MEMS technology finds applications in the below general domains

Automotive domain:

  • Airbag Systems

  • Vehicle Security Systems

  • Intertial Brake Lights

  • Headlight Leveling

  • Rollover Detection

  • Automatic Door Locks

  • Active Suspension Consumer domain:

  • Appliances

  • Sports Training Devices

  • Computer Peripherals

  • Car and Personal Navigation Devices

  • Active Subwoofers Industrial domain:

  • Earthquake Detection and Gas Shutoff

  • Machine Health

  • Shock and Tilt Sensing Military:

  • Tanks

  • Planes

  • Equipment for Soldiers Biotechnology:

  • Polymerase Chain Reaction (PCR) microsystems for DNA amplification and identification

  • Micromachined Scanning Tunneling Microscopes (STMs)

  • Biochips for detection of hazardous chemical and biological agents

  • Microsystems for high-throughput drug screening and selection

  • Bio-MEMS in medical and health related technologies from Lab-On- Chip to biosensor & chemosensor.

    The commercial applications include:

  • Inkjet printers, which use piezo- electrics or thermal bubble ejection to deposit ink on paper.

  • Accelerometers in modern cars for a large number of purposes including airbag deployment in

    collisions.

  • Accelerometers in consumer electronics devices such as game controllers, personal media players

    / cell phones and a number of Digital Cameras.

  • In PCs to park the hard disk head when free-fall is detected, to prevent damage and data loss.

  • MEMS gyroscopes used in modern cars and other applications to detect yaw; e.g. to deploy a roll

    over bar or trigger dynamic stability control.

  • Silicon pressure sensors e.g. car tire pressure sensors, and disposable blood pressure sensors.

  • Displays e.g. the DMD chip in a projector based on DLP technology has on its surface several

    hundred thousand micromirrors.

  • Optical switching technology, which is, used for switching technology and alignment for data

    communications.

  • Interferometric modulator display (IMOD) applications in consumer electronics (primarily displays for

    mobile devices).

  • Improved performance from inductors and capacitors due the advent of the RF-MEMS technology

    MEMS devices:

    Few examples of real MEMS products are,

  • Adaptive Optics for Ophthalmic Applications

  • Optical Cross Connects

  • Air Bag Accelerometers

  • Pressure Sensors

  • Mirror Arrays for Televisions and Displays

  • High Performance Steerable Micromirrors

  • RF MEMS Devices

  • Disposable Medical Devices

  • High Force, High Displacement Electrostatic Actuators

  • MEMS Devices for Secure Communications

    MEMS devices used in Space exploration field include:

  • Accelerometers and gyroscopes for inertial navigation

  • Pressure sensors

  • RF switches and tunable filters for communication

  • Tunable mirror arrays for adaptive optics

  • Micro-power sources and turbines

  • Propulsion and attitude control

  • Bio-reactors and Bio-sensors, Microfluidics

  • Thermal control

  • Atomic clocks

CONCLUSION

MEMS technology has the potential to change our daily lives as much as the computer has. However, the material needs of the MEMS field are at a preliminary stage. A thorough understanding of the properties of existing MEMS materials is just as important as the development of new MEMS materials. Future MEMS applications will be driven by processes enabling greater functionality through higher levels of electronic- mechanical integration and greater numbers of mechanical components working alone or together to enable a complex action. Future MEMS products will demand higher levels of electrical- mechanical integration and more intimate interaction with the physical world. The high up-front investment costs for large- volume commercialization of MEMS will

likely limit the initial involvement to larger companies in the IC industry. Advancing from their success as sensors, MEMS products will be embedded in larger non-MEMS systems, such as printers, automobiles, and biomedical diagnostic equipment, and will enable new and improved systems.

References

  1. Micromachine Dvices, European Study Sees MEMS Market at More Than $34 billion by 02, May 1997, p. 1.

  2. Micromachine Devices I(2) (1996)

  3. M. Mehregany and S. Roy, Introduction to MEMS, 2000, Microengineering Aerospace Systems, El Segundo, CA, Aerospace Press, AIAA, Inc., 1999.

  4. M. Mehregany, K. J. Gabriel, and W. S.

    N. Trimmer, Integrated fabrication of polysilicon mechanisms, IEEE Transactions on Electron Devices ED-35, 719-723 (June 1988).

  5. L. S. Fan, Y. C. Tai, and R. S. Muller, Integrated movable micromechanical structures for sensors and actuators, IEEE Transactions on Electron Devices ED-35, 724-730 (June 1988).

  6. Sekioto, Yoshihara, and Ohkubo, Silicon nitride single layer X-ray mask, Journal of Vacuum Science and Technology, 21(4), Nov./Dec., 1982, pp. 1017-1021.

  7. http://www.sandia.gov/mems/micromach ine/overview.html. Sandia National Laboratories, Albuquerque, New Mexico 87185.

  8. Don L. DeVoe and Albert P. Pisano, Surface micromachined piezoelectric accelerometers (PiXLs), Journal of Microelectromechanical Systems, vol. 10, no. 2, June 2001, pp. 180-186).

  9. M. Lemkin, M. Ortiz, N. Wongkomet,

    B. Boser, and J. Smith, A 3-axis surface micromachined sigma-delta accelerometer, Proc. ISSCC 97, pp. 202-203, 1997.

  10. R. Nasby, J. Sniegowski, J. Smith, S. Montague, C. Barron, W. Eaton, P. Mc Whorter, D. Hetherington, C. Apblett, and

    J. Fleming, Application of chemical- mechanical polishing to planarization of surface-micromachined devices, Proc. Solid-State Sensor and Actuator Workshop, pp. 48-53, 1996.

  11. J. Sniegowski, Multi-level polysilicon surface micromachining technology: Applications and issues, ASME International Mechanical Engineering Congress and Exposition, 1996.

  12. David Bishop, Randy Giles, and Charles Roxlo, Micromirrors relieve communications bottlenecks, Photonics Spectra, vol. 34, no. 3, Mar. 2000, pp. 167- 169.

  13. Koji Takahashi, Hirofumi Sakai, Kunihito Nagayama, Akihito Baba, Kenji Makihara, Tanemesa Asano, Vaporization and nucleation on microheater in microchannel with nozzle, AIAA Thermophysics Conference, 35th, Anaheim, CA, June 11-14, 2001, AIAA Paper 2001-3075).

  14. James H. Smith and Steven T. Walsh, Selecting a process paradigm for an emergent disruptive technology: Evidence from the emerging microsystems technology base, 1997.

  15. H. C. Nathanson and R. A. Wickstrom, A resonant-gate silicon surface transistor with high Q bandpass properties Applied Physics Letters 7, 84 (1965)

  16. http://mems.engr.wisc.edu/polysilicon.htm

    l. University of Wisconsin, Madison, Wisconsin.

  17. http://www.appliedmaterials.com/mems

Leave a Reply