ASIC Low-Power ECG-Based Processor for Predicting Cardiac disease

DOI : 10.17577/IJERTCONV5IS13085

Download Full-Text PDF Cite this Publication

Text Only Version

ASIC Low-Power ECG-Based Processor for Predicting Cardiac disease

S. Devi Poonguzhali

Assistant Professor in Department of Electronics and Communication Engineering

K. Ramakrishnan College of Technology Trichy, India

    1. animozhi, R.Karthika, R.Kirthiga UG Student,Department of ECE K.Ramakrishnan College of Technology Trichy,India

      Abstract This paper presents the design of a fully integrated electrocardiogram (ECG) signal processor (ESP) for the prediction of ventricular arrhythmia using a unique set of ECG features and a naive Bayes classifier.Real-time and adaptive techniques for the detection and the delineation of the P-QRS-T waves were investigated to extract the fiducial points. Those techniques are robust to any variations in the ECG signal with high sensitivity and precision. Two databases of the heart signal recordings from the MIT PhysioNet and the American Heart Association were used as a validation set to evaluate the performance of the processor. Based on application-specified integrated circuit (ASIC) simulation results, the overall classification accuracy was found to be 86% on the out-of-sample validation data with 3-s window size. The architecture of the proposed ESP was implemented using 65-nm CMOS process. It occupied 0.112-mm2 area and consumed 2.78-µW power at an operating frequency of 10 kHz and from an operating voltage of 1 V. It is worth mentioning that the proposed ESP is the first ASIC implementation of an ECG-based processor that is used for the prediction of ventricular arrhythmia up to 3 h before the onset.

      KeywordsComponent; formatting; style; styling; insert (key words)

      1. INTRODUCTION

        Sudden cardiac death accounts for approximately 300000 deaths in the United States per year, and, in most cases, is the final result of ventricular arrhythmias, including ventricular tachycardia (VT) or ventricular fibrillation (VF). Ventricular arrhythmia is an abnormal ECG rhythm and is responsible for 75%85% of sudden deaths in persons with heart problems unless treated within seconds. Most ventricular arrhythmias are caused by coronary heart disease, hypertension, or cardiomyopathy, and Some components, such as multi-leveled equations, graphics, and tables are not prescribed, although the various table text styles are provided. The formatter will need to create these e if not accurately diagnosed nor treated, immediate occurs death. VT is a fast rhythm of more than three consecutive beats originating from the ventricles at a rate more than 100 beats/min . VF is another rhythm characterized by the chaotic activation of ventricles, and it causes immediate cessation of blood circulation and degenerates further into a pulseless or flat ECG signal indicating no cardiac electrical activity. The implantable cardioverter-defibrillator has been considered as the best protection against sudden death from ventricular arrhythmias in high-risk individuals. However,

        most sudden deaths occur in individuals who do not have high-risk profiles. Long-term ECG monitoring is the criterion standard for the diagnosis of ventricular arrhythmia. The 12- lead ECGs are obtained and analyzed to detect any changes in the characteristics of the ECG signal.By extractinginformation about intervals, amplitudes, and waveform morphologies of the different P-QRS-T waves, the onset of the ventricular arrhythmia can be detected. A wide range of methods were developed to detect ventricular arrhythmia based on morphological, spectral , or mathematical features extracted from the ECG signal. Machine learning techniques, such as neural networks and support vector machine (SVM) have also been suggested as a useful tool to improve the detection efficiency. Although these methods have exhibited advantages in the detection of ventricular arrhythmia, they have some shortcomings. Some are too difficult to implement or compute, some have low specificity in discriminating between normal and abnormal conditions, and all maintain late detection interval, which is usually not enough to take an action.

        Recently, due to the remarkable advancement in technology, the development of dedicated hardware for accurate ECG analysis and classification in real time has become possible. The main requirements are low-power consumption and low-energy operation in order to have longer battery lifetime along with the small area for wearability. Many attempts succeeded to implement ECG signal processing and classification systems in Shiuetal.The ESP Block is implementedan integratedelectrocardiogramsignal processor (ESP) for the identification of heart diseases using the 90-nm CMOS technology.The system employedan instrumentationamplifier and a low-pass filter (LPF) to remove the baseline wander and the power line interference form the ECG and employed First, confirm that you have the correct template for your paper size. This template has been tailored for output on the A4 paper size.

        Another ESP was proposed in the system was fabricated on the 0.18-m CMOS technology and executed different functions for the three stages of preprocessing, feature extraction, and classification.The algorithm behind these functions was based on the quad level vector . Moreover, the functions were all pipelined to increase hardware utilization and reduce power consumption. Besides, the system employedclock gatingtechniquesto enable anddisable each processing unit individually according to the need and it applied voltage scaling up to 0.7 V. The ECG processor

        consumed 6 W at 1.8 V and 1.26 W at 0.7 V, which is much better than the system in due to the low-power techniques it employed. One recent system for ECG classification was presented in and comprised of three chips. The first chip contained the body-end circuits that were the high-pass sigma delta modulator-based biosignal processor and the ONOFF keying transmitter. The second chip, the receiving end, had the receiver and the digital signal processing (DSP) unit. The last chip was the classifier. Discrete wavelet transform was adopted by the DSP unit for the ECG feature extraction and classification. The chip was fabricated on the 0.18-m

        CMOS technology and consumed a total power of 5.967 W at 1.2 V for the DSP unit only. The accuracy of the beat detection and the ECG classification was 99.44% and

        97.25%, respectively.

        On the other hand, the implanted systems have been suggested as an alternate solution to the body wearable devices and attracted much interest in thefield. The main benefit of such approach is that the impact of a persons motion and his daily activities is dramatically reduced. Chen et al. [14] proposed a syringe-implantable ECG system for arrhythmia classification based on the state-of-the-art 65-nm CMOS process. The system acquires the ECG signal,filters it, amplifies it, and digitizes it through the analog front-end (AFE) module. The AFE contains a low-noise instrumentation amplifier, a variable gain amplifier, and a successive approximation register analog-to-digital converter. The arrhythmia detection is performed using two approaches. The first approach evaluates the variance of the RR interval and applies a simple threshold technique to distinguish between normal and abnormal intervals. In the second approach, the ECG signal is transformed into the frequency domain, and the variation in the spectrum is analyzed. The design consumed

        92 nW at 0.4 V for the DSP unit. The accuracy of the classification was not stated.

        FIGURE-Electrocardiogram Signal Processing(ESP)

      2. SYSTEM OVERVIEW

        The proposed system is a life savior for patients who are susceptible to ventricular arhythmia by alerting them for immediate attention to their medical condition. Unlike other systems that acquire the ECG signal and transmit it for further analysis, the proposed system aims to design and develop an integrated biomedical processor that is capable of acquiring the ECG signal from the heart along with processing and analyzing it on the same chip without any external interaction, as shown . Thus, the patient would have immediate alert to his situation and that is very important, especially in critical situations. Furthermore,the local processingof the data would reduce the amount of the data to be transmitted in case of any further checkup. In the first stage, the ECG preprocessing is responsible for three tasks: 1) ECG filtering; 2) QRS complex detection; and 3) T and P wave delineation. The ECG filtering removes the noise coupled with the ECG signal and prepares it for further analysis. After that, the QRS complex is detected using the Pan and Tompkins (PAT) algorithm. Finally, T and P waves are delineated, and the corresponding fiducial points (P onset, P peak, P offset, T onset, T peak, and T offset) are extracted.

        In the second stage, seven features are extracted from the ECG signal and grouped together to construct a unique set. All the features represent different intervals from the ECG signal, and they are RR, PQ, QP, RT, TR, PS, and SP intervals. Usually, the reported systems in the literature build their systems depending on one feature only, such as the heart rate interval, the variability of the timing delay of the ECG segments, or the QT interval variability. However, multiple features were necessary to enhance the robustness of the system, and thus, we constructed this unique set of ECG intervals and used it as input for the final stage. The combination of these features has never been used in any published detection or prediction method, yet it was proved to be the most significant combination. In the final stage, naive Bayes algorithm is used to identify the signals that are susceptible to ventriculararrhythmia.There are many reasons for choosing the naive Bayes. First, the ECG features have shown strong potential in the prediction of ventricular arrhythmia with a p-value < 0.001. Second, it was intended to investigate the performance of the system without introducing the strong biasing effect of a classifier. Finally, naive Bayes is the simplest classification method that can be easily implemented in hardware.

      3. HARDWARE DESIGN

        The architecture of the proposed ESP is shown.The architectureincludes the modules of the three stages along with a main FSM that controls theflow of the data between the different stages, as shown .The processing of the data is done using fixed point representation. The digitized ECG data are applied in series (from testbench) at the input to the preprocessing stage with a resolution of 8 bit, while a variable number of bits were utilized in the different stages to enhance the accuracy and avoid truncations errors.

        Otherwise, the waveform is identified as inverted, and the local minimum of the ECG signal within the same window is

        the correct peak. In case of biphasic wave, both the local maximum and the absolute value of the local minimum should be greater than the threshold. The method traces the onset and offset values of the P-QRS-T waves byfinding the sample corresponding to the zero slope of the entitled ECG signal. The sample point that has a zero slope and former to the peak is identified as the onset point. Similarly, the offset point is determined at other side of the peak. Sometimes, however, a derivative sign change occurs, which reflects a false indicator.To solvethis, the methodadds another criterion for a correctdelineation of the wave boundariesbased on the fact that the fiducial points tend to merge smoothly with the isoelectric line.

        The isoelectric line is approximated as the average value of the beat signal after removing the QRS complex.This idea is utilized andcombinedwith the zero slope for an accurate and reliable delineation of the fiducial points. The general FSM, which illustrates the delineation process of T and P waves, is Shown. In this paper, both the complexity and the accuracy are addressed at the same time. To do so, we have performed statistical analysis techniques that are famous in the decision making in the biomedical research to choose the best discriminative ECG features that would maintain low system complexity and high accuracy. These statistics assist the researchers to conclude about the significance of a conducted research, and it included the mean error and standard deviation, the two-sided unpaired t-test, and the area under the receiver operator characteristic curve.

        A.Feature Extraction Stage

        The two main parameters that must be considered while developing a detection (or prediction) system are the complexity and the accuracy of the feature extraction technique in providing the best results. The choice of classifier in this paper was the naive Bayes. The naive Bayes classifier is easy to build with no complicated iterative parameter estimation, which makes it particularly useful for hardware implementation. It assumes naive and strong independent distributions between the feature vectors, and this assumption was met, since all the extracted ECG features were independently analyzed and assessed from the beginning. The architecture of the classifier is implemented, as shown. The Bayesian classifier uses Bayes theorem to find out the probability of a data belonging to a particular class given observations.

        ECG recordings from the PhysioNet and the American Heart Association (AHA) databases were used to construct the study data sets of this paper. This paper included two groups; GROUP A included a set of five single-lead normal ECG records obtained from the NSRDB and sampled at 250 Hz. These records have no significant arrhythmias. Group B involved a total of six single-lead beat-by-beat annotated abnormal ECG records with significant ventricular arrhythmias. . These records have shown serious abnormality and were obtained from two sources, including the AHA (records sampled at 250 Hz) and the MIT-BIH (records sampled at 360 Hz).

        All the selected ECG records were annotated with N (normal heartbeat) and (start/ end of VF, VT, or flutter). Furthermore, our analysis used a window of 3 s on the time before the onset of ventricular arrhythmia.A few seconds

        before the onset, where the signal behaves quite properly,up to the associated length of each record. With such analysis, it was possible to predict the VT/VF onset even before 3hrs.

        Figure-Prediction versus detection of the onset of VT/VF from the ECG heartbeat analysis.

      4. PERFORMANCE AND RESULTS

        Apart from MATLAB simulations, extensive Verilog simulations were done using Modelsim and Synopsys tools to verify the working of the design. In general, the end-to-end system was implemented in Verilog-HDL, and a testbench was created to simulate it by modeling the input data. The input signalis a digitizedECG data sampledat 250Hz.

        Furthermore, the system is verifiedto operatefor differentclock frequencies, and we have reported the performance for operating frequency ranging from 10 kHz up to 4 MHz. Modelsim is used as simulation and verification tool, while Synopsys digital design tools.

        1. Results of the ECG Preprocessing Stage

          The filtering of the ECG signal was donethrougha cascaded LPF and HPF. The two filters have shown a good performance in cleaning up the ECG signal from the coupled noise, as shown in Fig. 16, where it represents the output of the filters for multiple scenarios of ECG records obtained from the PhysioNet and the AHA databases. Moreover, the performance of the implemented QRS complex detector was calculated between the annotated and automated results.

          Moreover,the mean error () and the standard deviation () of the fiducial points, including P peak, P offset, Q onset, R peak, S offset, T peak, and T offset, were calclated with a reference to the annotations, and the results are displayed . The performance of the technique is highly accurate and satisfactory for advanced ECG feature extraction.Highlights the capability of the system to detect and delineate the ECG signal in different real-life scenarios We have tried four real-time ECG records obtained from the PhysioNet and the AHA databases and tested the system on them. It is clear from Fig. 17 that the system works with different variations of ECG waveform morphologies and can identifyall the waves of the ECG signal. Of course, identifying the different ECG waves is much needed and a crucial step to do further analysis and be able to correctly extract more information from the signal.

        2. Results of Classification Stage

          The classification results, which were obtained after simulating the ECG data in Modelsim, are presented These results are based on the classifier output on 3-s processing window. The classification accuracy is computed and found to be 86% (TN refers to the number of true negative detections).Moreover,the TP rate (TPR) of GROUP A records is shown in Fig. 18 with the TPR of 87% and 82.1% as the best and worst cases, respectively.

          A geometric representation of the classification output for some pair of feature vectors that are associated with two classes is shown in Fig. 19. The two classes are represented in red circles for normal ECG signals and blue triangles for ECG signals with VT/VF rhythms.

        3. Comparison Against Others

        The performance of the proposed ESP was compared against four other published designs from reported power in Table IV varies among the other presented work due to the fact that different frequencies and voltage supplies along with different technologies were used. To show the effect of scaling the frequency on our system, we run the design at different clock frequencies ranging from 10 kHz to 4 MHz at a supply voltage of 1 V, and Fig. 20 shows the estimated power consumed at those frequencies. All essential features of the ECG signal are concentrated at low frequencies (less than 150 Hz), and the ECG is sampled at <1 kHz. Hence, an operating frequency of 10 kHz is more than sufficient to adequately process an ECG signal. At this frequency, our system consumed only of 2.78W that deems it very suitable for wearable devices.

        Moreover, the architecture of the system was designed suitable for ultralow power consumption. A substantial amount of work was done in optimizing the RTL code in order to lower the power consumption. Switching power was reduced by implementing some components of the system using combinational circuits. Furthermore, the proposed system is the only one that is used for the prediction of ventricular arrhythmia (refer to Table IV), while all the rest do detection of it. This is the most important contribution in this paper, which believed to be a life savior to many patients with ventricular arrhythmias.

      5. CONCLUSION

In this paper, a fully integrated digital ESP for the prediction of ventricular arrhythmia that combines a unique set of ECG features with naive Bayes was proposed. Real-time and adaptive techniques for the detection and delineation of the P-QRS-T waves were investigated and employed to extract the fiducial points. Furthermore, seven features that represent different intervals of the ECG signal were extracted and used as input to the naive Bayes to classify each heartbeat as normal or abnormal. The combination of these features has neverbeenused in anypreviousdetectionor predictionsystem. The ESP was implemented using the state-of-the-art 65-nm technology,and based on the design constraints, it occupied an area of 0.112 mm2 and consumed a total power of 2.78 W. Moreover, the proposed ESP achieved an outstanding capability of predicting the arrhythmia up to 3 h before the onset. A prediction accuracy of 86% was obtained on the out- of-sample validation data by tenfold cross validation with 3-s window size. The small area, low power, and high performance of the proposed ESP make it suitable for inclusion in system on chips targeting wearable mobile medical devices.

The improvements that will be added to this design in the future could be summarized as follows.

  1. Integrate multiple biomedical signals with the ECG, such as blood glucose, electroencephalograph, and electromyography.

  2. Include a low-powered wireless transceiver module to transmit the biomedical signals.

  3. Employ different filtering techniques to remove any type of noise that could be coupled with the ECG signal.

  4. Employ a search-back mechanism in case of miss detection of any of the P-QRS-T waves.

  5. Employ some power reduction techniques, such as clock and frequency scaling.

REFERENCES

  1. J. W. Schleifer and K. Srivathsan, Ventricular arrhythmias: State of the art, Cardiol. Clin., vol. 31, no. 4, pp. 595605, 2013.

  2. D. P. Zipes and H. J. J. Wellens, Sudden cardiac death, Circulation, vol. 98, no. 21, pp. 23342351, 1998.

  3. C. J. Garratt, Mechanisms and Management of Cardiac Arrhythmias. London, U.K.: BMJ Books, 2001.

  4. P. de Chazal, M. ODwyer, and R. B. Reilly, Automatic classification of heartbeats using ECG morphology and heartbeat interval features, IEEE Trans. Biomed. Eng., vol. 51, no. 7, pp. 11961206, Jul. 2004.

  5. A. Amann, R. Tratnig, and K. Unterkofler, Detecting ventricular fibrillation by time-delay methods, IEEE Trans. Biomed. Eng., vol. 54, no. 1, pp. 174177, Jan. 2007.

  6. O. Sayadi, M. B. Shamsollahi, and G. D. Clifford, Robust detection of premature ventricular contractions using a wave-based Bayesian framework, IEEE Trans. Biomed. Eng., vol. 57, no. 2, pp. 353362, Feb. 2010.

  7. X.-S. Zhang, Y.-S. Zhu, N. V. Thakor, and Z.-Z. Wang, Detecting ventricular tachycardia and fibrillation by complexity measure, IEEE Trans. Biomed. Eng., vol. 46, no. 5, pp. 548555, May 1999.

  8. J. Pardey, Detection of ventricular fibrillation by sequential hypothesis testing of binary sequences, in Proc. IEEE Comput. Cardiol., Sep./Oct. 2007, pp. 573576.

  9. Q. Li, C. Rajagopalan, and G. D. Clifford, Ventricular fibrillation and tachycardia classification using a machine learning approach, vol. 61, no. 3, pp. 16071613, Jun. 2013.

  10. B.-Y. Shiu, S.-W. Wang, Y.-S. Chu, and T.-H. Tsai, Low-power low- noise ECG acquisition system with dsp for heart disease identification, in Proc. IEEE Biomed. Circuits Syst. Conf. (BioCAS), Oct./Nov. 2013, pp. 2124.

  11. H. Kim, R. F. Yazicioglu, T. Torfs, P. Merken, H.-J. Yoo, and C. Van Hoof, A low power ECG signal processor for ambulatory arrhythmia monitoring system, in Proc. IEEE Symp. VLSI Circuits (VLSIC), Jun. 2010, pp. 1920.

  12. H. Kim, R. F. Yazicioglu, P. Merken, C. Van Hoof, and H.-J. Yoo, ECG signal compression and classification algorithm with quad level vector for ECG holter system, IEEE Trans. Inf. Technol.

[13] Biomed., vol. 14, no. 1, pp. 93100, Jan. 2010. S.-Y. Lee, J.-H. Hong,

C.-H. Hsieh, M.-C. Liang, S.-Y. C. Chien, and K.-H. Lin, Low-power wireless ECG acquisition and classification system for body sensor networks, IEEE J. Biomed. Health Informat., vol. 19, no. 1, pp. 236 246, Jan. 2015.

[14] Y.-P. Chen et al., An injectable 64 nW ECG mixed-signal SoC in 65 nm for arrhythmia monitoring, IEEE J. Solid-State Circuits, vol. 50, no. 1, pp. 375390, Jan. 2015.

Leave a Reply