Smart Home Implementation using Verilog Hardware Descriptive Language

DOI : 10.17577/IJERTV11IS020110

Download Full-Text PDF Cite this Publication

Text Only Version

Smart Home Implementation using Verilog Hardware Descriptive Language

Rachana Veerabommala VLSI Design IGDTUW Delhi, India

Ms. Greeshma Arya

Asst. Professor, ECE IGDTUW Delhi, India

Abstract In this modern world, everything is working under the technology. With the advancement of Technology the devices are implementing smart. One of the smartest things is home automation. The home automation mainly deals with two parameters i.e., Safety and security. These include lighting and temperature control for Safety. The security system detects the fire and invaders through the door. It is controlled by interfacing the devices and sensors to the FPGA. The digital design is the platform to design this smart home. With the help of FSM concepts Verilog HDL code has been synthesized in Cadence and sim vision software, RTL schematic diagram is used to represent the pin diagram. The simulated waveforms are obtained and verified .This system is very flexible sothat the new devices can be added and the core appliances can be controlled.

KeywordsCadence, Simvision, FPGA, Verilog HDL, FSM

  1. INTRODUCTION

    Now a days so many technologies are implementing to lead the ease of life. One of the technology is home automation. Home automation is enhancing very popular these days with different ideas. All these technologies are implementing for the purpose of safety and security. The security module is used to protect our house from thieves and mishaps .The safety module is used to monitor the surroundings of our house. These two modules are used to modernize the home. The home automation makes more secure and comfortable to the user. To implement this home automation Verilog code is used so that it activates the safety and security module. When coming to the security module, when the user enters the home, with the help of the password he can easily opened so that the security module gets activated and he doesnt need to check the door. When coming to the safety module, we need to use the devices according to our convenience in the home .To activate this module we need sensors to detect the environment that will be connected to the controller. In this project, FPGA is used as it acts as both controller and the processor. The sensors are PIR sensor, Temperature sensor, Fire sensor and buzzers. The entire system is working with the multiple sensors as inputs in the Verilog HDL by using FSM methods.

    1. Problem

      Sometimes the user forgot the password or he mistype the password, at that the time door will be locked automatically and he cannot get the possibility to open again. So, to overcome this drawback this system is designed.

    2. Scope

      This system is designed to control the doors, fire, Temperature, luminosity in the human presence and to provide the convenience to the user at low cost.

    3. Existing System

      Most of the home automation systems are designed with different ideas using different ways. All such devices are with high cost and also with the complex design. Moreover the use of the system is also not that much of comfortable.

      These complex systems are also not easy to

      replaceable or upgrade once it is installed. The overall investment also adds financially infeasible. These problems are hindering the popularity of the system.

    4. Assumption

    Due to the inaccessibility of hardware constraints, it was assumed with the virtual house. The purpose of this project is to control the device internally.

  2. PROPOSEDSYSTEM

The prime objective of this proposed system is to provide the system at low cost and easy to use along with high security and safety. This system is used to overcome the drawbacks.

The concept of this system is to control the devices internally. By keeping the virtual house as role model the design set is designed. Then the sensors are placed according to that. Afterwards all the sensors are placed a t one network. The sensors are fire sensor, Luminosity sensor, PIR sensor and Temperature controller, Probe sensor

A. BLOCKDIAGRAM

Fig 1.HOME AUTOMATION SYSTEM

Block diagram for door

FIG 2.Block diagram for door

Home automation: This the module which takes all the inputs and provides corresponding output. It also sets the alarms according to the modules.

Door module: In this module, when a person enters into the home d_sen (PIRsensor) it detects if the person enters into the home. When the person enters the pin correctly it activates to the high and open the door automatically otherwise the alarm will rings and through the Google message protocol automatically sent the message to the user. If the user forgets the password or he may mistype the password suddenly then he can try the password again by accepting the message which he got to his account.

Fire module: This module works if the sensor detects the fire at any place then it activates high

Luminosity module: In this module, if the brightness is less or high then the sensor will activate according to it. Temperature module: In this module, if the temperature is cool or high the sensor will activate according to it.

B .State Diagram:

fig 3.state diagram of home automation

These modules are designed by using Finite State Machines. Initially all the states are set into0.

Start state: In this state, all modules are set into zero or it is in the reset mode.

Door state: In this state, if the sensor detects any unknown person then it activates to 1 and the alarm will rings i.e., alarm=1 and then goes to fire state.

Fire state: In this state, if the fire may occur at any place then f_sen will be high and the alarm will indicates ,the buzzer will be on until it will turnoff manually otherwise it will be in off state.

Temperature controller: This state, three conditions are given, if the temperature is less than 500Cthen it goes to the other state i.e., heater otherwise (>500C) the cooler will be turned on. If the temperature is equals (=) to 500C then no action will be taken.

Luminosity controller: In this state, if the sensor detects brightness less than 1111 then the light will be turned off otherwise the light will be turned on automatically. If it is equals to 1111 then no action will be taken and it will back to that start state.

C.WATER LEVELINDICATOR:

The water plays an essential role in our daily life. In the smart home it is also one of the part in it. When coming to the part of water we are using the tank to store the water. But we have to keep an eye on it whether the tank is full or empty. We need to go on the roof to look the water level. By using the water level indicator it indicates with the help of the buzzer i.e., either the tank is full or not. The below state diagram indicates how it works.

Fig 4.State diagram of water level indicator

At the initial state, it will be on reset mode. When the probe sensor indicates 1 i.e., if the W<=90% or W<=50% the buzzer will rings then the pump will be on

.If the tank will fill W>90% or W >50%then the pump will be off. If the water level equals to 90% then no action will be taken and it will goes to the start state. In this water level indicator, the probe sensor is used to indicate the water level. By using this, it becomes easier job to us. By using this overflow of water is not possible and also indicates if the tank is empty.

A.VERILOG HDL:

Verilog HDL is the language which is used to describe the digital system such as memory devices

.By using Verilog HDL we can simulate the digital hardware at any leel. Designs are described in different technologies for better designing and debugging. For the easy designing and simulating Verilog is the best language to describe these things especially for the large circuits. Because it is similar to C language as the syntax is similar. The designers also experiences easy to learn Verilog HDL. It allows the different levels of abstraction in the same model. So that the designer can define a hardware model in terms of gates and RTL. Many logic synthesis tools supports the Verilog, this makes the choice for designers.

When compared to VHDL, Verilog is very compatible as its code is easily understandable. Verilog produces the level of abstraction that helps the details of implementation .It covers all the possible states within the blocks. It explains all the inputs and outputs in simple statements. For fabrication designers Verilog HDL is the best choice to design the IC chips.

B.MODULES

  1. PIR SENSOR:

    A PIR (Passive Infrared) sensor is an electronic sensor which detects the movement of objects. It is mostly used for the purpose of security alarms. It detects the movement of any object in requirement range. It consists of pyroelectric sensor, which is able to detect the infrared radiation at different levels. It does not emit the energy itself but receives the energy passively. It detects the radiation from the environment. If there is a presence of object or human being it detects with the help of temperature. The PIR sensor does not radiate and release the energy .It absorbs the infrared radiation from the object to construct an alarm. The Pyro sensor observes the movement of an object changes in temperature. The detected radiation converts into electrical signal. Then this signal sends to the output that is the alarm. The alarm will intimate that someone has entered in the house.

  2. FIRE SENSOR:

    A fire sensor detects the fire near to our surroundings. The fire sensor is an active protection to the people which alerts the people with the alarm. These sensors will activate when there is a presence of extremely high temperature at its surroundings. This fire sensor perceives the infrared flame flash method which allows detecting the smoke and heat. It will responds very actively at certain range .Once the sensor detects the smoke or heat ,it will send the signal to the alarm system to activate , then the alarm will gives alert with the sound. By using these fire sensors the damage of the systems will minimize.

  3. TEMPERATURE SENSOR :

    The temperature sensor is used to detect the temperature range and also to measure the temperature. Here the LM35 sensor is using as it is very less in cost. This sensor works with the principle of diode, when the voltage increases across the diode the temperature also increases according to it. If the temperature detects certain range automatically it sends the signal to the module systems then it will set according to it. This LM35 device is accomplish to drive 50pf without special safeguard. The power supply to LM35 sensor is also ideal .Compare to other temperature sensors LM35 is the most commonly used in many applications. By

    using the temperature sensor, it is easy to control the systems.

  4. LIGHT SENSOR:

    Here, the luminosity sensor is using LDR. This LDR consists of photo resistor which is made up of silicon material. This sensor responds very quickly when it detects the light. Once the light rays fall on it, then instantly the resistor also changes. When the light level increases the resistance value also increases. When the light level decreases the resistance value also decreases .The LDR will detect the light through that resistor it responds to it and it will send to systems according to it. So that automatically the functions will work. The resistor decreases in the bright and increase in dark. In this long wavelength resistors are used. When compare to all the light sensors LM35 is the cheap and easily in different sizes and shapes

  5. PROBE SENSOR:

    To indicate the water level the probe sensor will be helpful to intimate the information. When the water level is high or low this sensor sends the signal to the panel to trigger the alarm. To manage the water levels the probe sensor will helps to control it. If the water is empty in the tank the probe sensor will detects as the conductivity of resistance in it then it sends the information to the output signal. By using the alarm the user gets the information whether it is full or empty.

    1. SIMULATIONS AND RESULT

      Fig 5 without giving any inputs

      Fig6 checking the outputs with different values

      Fig 7.waveforms are verified in simvision

      The working of all sensors is written in the Verilog code and simulated the results in simvision and cadence.

      These are the simulations have checked at different levels. The outputs are verified according to it.

    2. RTL Schematic Diagram

    3. COMPARATIVE ANALYSIS

      This is the comparative analysis of literature review which have gone through some of the papers and compared the results.

      Fig 8.RTL schematic diagram in SimVision

    4. ADVANTAGES

1. Enhance the security by using Automated Door Locking system

2 . Get Alerts the owners from fire accidents.

  1. It saves Time and Money.

  2. It provides Safety from natural disasters.

  3. Enhance energy efficiency.

  4. We can restrict unauthorized persons from entering.

  5. CONCLUSION

    Concluding the main aim of this project is to design a controller at an affordable price which contains an automated In-house security system. Every sensor in this controller has unique detection system for different incidents such as motion detection, fire, temperature and light. This type of controller is low cost and it can be enhanced to advanced controller by making few changes. It is very easy to use and we can integrate with any other device.

    When it comes to coding part, Verilog HDL helped to implement the code It is easy to understand the concept of this project with the help of waveforms and graphs as in the above figures.

    Fig 9. Elaborated RTL design

    The RTL schematic diagram is designed in the SimVision Simulator by taking the reference as virtual house to get the approximate results.

  6. REFERENCES

  1. Carl J. Debono and Kurt Abela Departmentof Communications and Computer Engineering Implementation of a Home Automation System through a Central FPGA Controller , University of Malta, January 2018.

  2. P.A Bawiskar and Prof R . K. Agrawal FPGA Based Home Security System , International Journal of Innovative Research in science Engineering and Technology,Vol 4,Issue 12,December2015.

  3. N.Chintaiah, K. Rajasekhar, V. Dhanraj, Automated Advanced Industrial and Home Security using GSM and FPGA , International Journal of Computer Science and Information Technologies, vol. 2(4), 2011, 1598-1602.

  4. Sweatha K N, Poornima M, Vinutha M. H. Advance Home Automation using FPGA controller , International Journal of Advanced Research in Computer and Communication Engineering, Vol.2, Issue7.

  5. Prof. Dr. Sanjeev Sharma, Ms. RevatiDeokar FPGA Based Cost Effective Smart Home Systems , International Conference On Advances in Communication andComputing Technology , February2018.

  6. Prasanna S. Bhoite1 , Madan B. Mali2,Wireless Signal Transmission using an Android Mobile and FPGA, International Journal of Advanced Research in Computer and Communication Engineering,E&TC, Vol. 5, Issue 5, May 2016.

  7. K. Madhuri, B. L. Sai and B. S. Sirisha, A home automation system using hardware descriptive tool, Ijert, vol.2, July2013.

  8. P.Kumar and U. C. Pati, IoT Based Monitoring and Control of App lian ces fo r Smart Home,IEEE 2016 – Inf. Commun. Technol. Recent Trends Inf. Technol. Conf. Proc., vol. 769008, pp. 11451150 2016.

  9. Y. Arakawa, T. Kanehira, M. Fujiwara, and K. Yasumoto, SenStick: comprehensive sensing platform with an ultra tiny all-in-one sensor board for IoT research, Journal of Sensors, vol. 2017, Article ID 6308302, 16 pages, 2017.

  10. Pranav Rameshji Rokde, Wireless Water Level Indicator Without MCU, Available at http://electronicsforu.com/electronicsprojects/wir eless- water-level-indicator-without-microcon- troller. Web projects/wireless-water-level- indicator-without- microcontroller

  11. Paul, H., & Windfied, R. (2008). The Art of Electronic, (2nd Edition). London: Chand & company.

  12. Tharaja, B. L., & Tharaja, A. K. (2006). A Text Book On Electrical Technology, (23rd Edition). New Delhi, India: S,

    Chand & Company

  13. Vardalas, John, Twists and Turns in the Development of Transistor, IEEE-USA Todays Engineer, May 2003.

  14. Milenkovic, A., Milenkovic, M., Jovanov. E., Hite, D., & Raskovic. (2005). An Environment for Runtime power monitoring of wireless Sensor Network Platforms, Proc. Vol. 1, No. 8.

Leave a Reply